数字电路基本原理十篇

发布时间:2024-04-25 20:06:47

数字电路基本原理篇1

关键词:数字电子技术;教材改革;工程应用

1.引言

《数字电子技术》是高等学校通信工程、电子信息工程、自动化、电气工程及自动化等专业的重要专业基础课程[1]。随着数字电子技术、数字系统的高速发展,以FpGa(Fieldpro-grammableGatearray)和CpLD(ComplexprogrammableLogicDevice)为代表的大规模可编程逻辑器件(programmableLogicDevice,pLD)的广泛应用,使传统“板上数字系统”被“片上数字系统”替代[2]。为适应数字电子技术的发展趋势,对传统《数字电子技术》教材内容进行了改革,在教材内容的安排和例题选用上,立足于应用型人才培养,以现代信息技术为依托,注重理论联系实际,取得较好的应用效果。

2.教材改革的基本思路

随着数字电子技术的快速发展,如何处理数字电子技术的经典内容与现代内容、传统分析设计方法与现代分析设计方法之间的关系,是教材内容改革的重点。教材以“基础知识器件原理器件应用器件仿真系统构建系统仿真”为主线,构建数字系统的知识框架。在教材内容组织上,将数字电子技术和数字系统有关知识融为一体,系统介绍数字电子技术与数字系统的基本分析方法和设计方法;在教材内容编写上,以培养学生的应用能力和实践能力为目的,采用案例式或项目式编写思路,将理论知识和实际应用相结合,把突出知识的应用性和实践性作为主要方向,做到理论和实践并重,既强调理论基础,又突出应用性。对于集成电路注重逻辑功能和使用方法介绍,增加eDa(electronicDesignautomation)技术基础知识[3],利用multisim软件对部分电路进行功能仿真,并介绍VHDL语言、QuartusⅡ软件的基本使用方法,利用VHDL语言设计部分数字电路,利用QuartusⅡ软件进行仿真分析,适应现代电子技术飞速发展和应用的需要。

3.教材的主要特点

3.1教材内容组织

按照教育部高等学校电子信息科学与电气信息类基础课程教学指导委员会对《数字电子技术基础》课程教学的基本要求,对《数字电子技术》教材内容进行重新组织,将教材内容分为十章[4]。第一章介绍逻辑代数的基础知识,主要包括各种数制、常用的编码规则、逻辑代数的基本定理、逻辑函数的表示方法和化简方法等。第二章介绍eDa技术的基础知识,包括multisim、VHDL语言、QuartusⅡ的基础知识。第三章介绍分立门电路、集成门电路和可编程逻辑器件的特点,并介绍利用VHDL语言设计门电路的方法。第四章首先介绍组合逻辑电路的基础知识,然后讲解组合逻辑电路的应用,最后利用multi-sim对组合逻辑电路进行功能仿真和设计分析,并介绍组合逻辑电路的VHDL语言设计方法。第五章介绍各种触发器的功能和应用,并利用multisim对触发器进行功能仿真,介绍触发器的VHDL语言设计方法。第六章介绍时序逻辑电路的分析方法和设计方法,介绍常用时序逻辑电路的功能和应用,并分别利用VHDL语言和multisim进行功能描述和仿真。第七章介绍脉冲波形的产生与整形电路,重点介绍集成电路的应用。第八章介绍半导体存储器的特点和应用。第九章介绍a/D转换和D/a转换的工作原理和主要技术指标,对集成DaC和aDC的基础知识及应用进行简单介绍,并利用multisim对基本转换电路进行功能仿真。第十章介绍数字系统设计的基本流程,通过3个实例介绍数字系统的不同设计方法。

3.2强调基础理论

随着数字电子技术的发展,数字电子技术已逐渐渗透到各个行业,《数字电子技术》课程作为高校电类专业的基础课程,是学生走向数字化时代的第一门课程,也是某些高校相关专业的考研课程,其重要性不言而喻。教材编写强调《数字电子技术》基础知识的系统性、完整性,将逻辑代数基础、组合逻辑电路分析与设计、时序逻辑电路的分析与设计等基础知识作为教材核心内容,并结合部分高校相关专业《数字电子技术》研究生考试大纲的要求,增加部分教学内容。例如,在第六章“时序逻辑电路”中增加利用观察法和隐含表法进行状态化简的内容,使学生能够更容易掌握时序逻辑电路的传统设计方法。在教材内容编排上,反复训练基础理论知识,使学生更好地学习并掌握基础理论知识,为进一步学习打下坚实的基础。例如,第四章“组合逻辑电路”首先介绍组合逻辑电路的分析方法和设计方法,然后介绍常用集成组合逻辑电路的原理和应用,其中译码器、数值比较器按照组合逻辑电路的分析方法进行阐述,编码器、数据选择器、加法器按照组合逻辑电路的设计方法阐述,使教材内容循序渐进、深入浅出,适用于学生自学,有利于培养学生自主学习能力。

3.3突出实践应用

在教材编写过程中,注重学生对知识应用能力培养的需要,强调具体操作过程中学习理论基础,将知识应用能力培养贯穿整本教材,突出教材知识的实践应用性。在介绍集成电路时,删除集成电路内部电路的分析,强调集成电路的逻辑功能和使用方法[5],例如,介绍555定时器时,在简单介绍555定时器的电路结构和工作原理的基础上,以“触摸式定时控制开关电路”、“双音门铃电路”等应用电路介绍555定时器的使用方法。在第九章“数/模和模/数转换器”中,以DaC0808、DaC0832、aD7543为例介绍常用集成数/模转换器的工作原理和使用方法,并分别给出DaC0832、aD7543与单片机at89C51的接口电路,既加强与后续课程单片机、微机原理等的联系[6],又突出教材内容的应用性。

3.4增加eDa技术知识

eDa是电子设计自动化(electronicDesignautomation)的缩写,是从计算机辅助设计(CaD)、计算机辅助制造(Cam)、计算机辅助测试(Cat)和计算机辅助工程(Cae)的概念发展而来的。教材第二章eDa技术基础知识介绍了multisim和QuartusⅡ两种eDa工具的操作界面和使用方法,并介绍了VHDL语言的基本结构、数据对象、数据结构、操作符和基本语句结构,使学生借助eDa工具进行电路分析和设计。教材给出了74LS138、74LS153、74LS194、74LS160等常用集成电路的multisim仿真电路和VHDL描述方法,并在第十章“数字系统设计”中,以“计数报警器”、“简易交通灯控制器”、“函数信号发生器”为例,结合multisim和QuartusⅡ软件,详细介绍简单数字系统的设计过程,丰富教材内容。

4.结语

《数字电子技术》教材改革是一项长期工程,随着数字电子技术的发展,必将对教材内容产生深刻影响。本教材于2012年10月由北京大学出版社作为“21世纪全国本科院校电气信息类创新型应用人才培养规划教材”出版,2013年12月被评为河南省“十二五”普通高等教育规划教材。教材经过3年多的使用,得到了广大师生的关注,收集了各方面建议和意见。为了更好地适应现代数字电子技术的发展和应用,需要对教材内容进行进一步改革。

参考文献:

[1]陆冰,魏芸,闾燕,等.“数字电子技术”课程教学改革的实践[J].电气电子教学学,2013,35(4):46-47.

[2]宁改娣,杜亚利.教材:《数字电子技术》教材改革探索[J].教育教学论坛,2012(8):98-99.

[3]黎艺华,谢兰清.高职数字电子技术项目课程教材建设探索[J].教育与职业,2011(15):131-132.

[4]秦长海,张天鹏,翟亚芳.数字电子技术[m].北京大学出版社,2012.

[5]王国新,张桂凤,宋婀娜.“数字电子技术”课程教学改革探究[J].中国电力教育,2014(12):73-74.

数字电路基本原理篇2

[关键词]ewB数字时钟仿真

一、引言

ewB是用于电路仿真的软件,它能够提供电路元件,电子仪器,能绘制电路,还具有波形显示功能。

本文在ewB基础上设计的数字钟,是一款具有校时功能的数字式时钟电路。

二、数字时钟的分析与设计

1.设计要求

(1)设计一个能显示“时”、“分”、“秒”、“周”的数字钟。

(2)当电路发生走时误差时,要求电路具有校时功能。

(3)要求电路具有整点报时功能。

(4)由555定时器提供标准时间的基准信号。

2.设计方案

根据设计要求,画出数字时钟原路框图,见图1。

三、数字钟基本原理及基本电路设计

1.数字钟基本原理

数字钟由秒脉冲发生电路、计数电路、译码显示电路、校时电路、报时电路5大基本电路组成。其中,秒脉冲发生电路用555定时器提供标准的秒信号送入计数电路计数,再由计数电路把累加的信号结果送入译码显示电路显示出来,与此同时,连入报时电路进行整点报时,连入校时电路用来校正走时误差。

2.秒脉冲发生电路

由555定时器构成的多谐振荡器电路所产生的信号振荡频率为:f=1/(t1+t2)=1.44/(R1+2R2)C。根据所需频率,求出R1、R2和C,所求值如图2所示。由此电路可以得到1HZ的标准脉冲信号。

3.计时和译码显示电路

计时电路有“秒”、“分”、“时”、“周”4部分计数器组成,其中“秒”、“分”为60进制计数器,其个位为十进制,十位为六进制。“时”为24进制计数器,其个位为十进制,且当十位计数到二,个位为四时,清零。“周”为7归1,当计数到7时,采用置位法,将其置为1。计时电路采用集成十进制递增计数器74160和带译码器的七段数码显示管来设计。

(1)秒、分、时计数电路

利用两片74160组成的同步六十进制递增计数器,如图3所示,其中个位计数器U2接成十进制形式,十位计数器U1选择Qc与Qb作为反馈端,经与非门输出控制清零端(CLR),接成六进制计数形式。个位与十位计数器间采用同步级连复位方式,将个位计数器的进位输出端(RC0)接至十位计数器的计数容许端(et和ep),完成个位对十位计数器的进位控制。秒的CLK均来自于555定时器送过来的1HZ,而分的两个CLK来源于秒U1的Qc与Qb的相与。当计数到60时,送出一个高脉冲,则分计数电路开始计数。“分”与“时”的电路相似,只需注意“时”为24进制计数即可。

(2)周计数电路

周计数电路(如图4)为7归1。每当计数器从1计到7时,由与非门送出一低电平信号给置位端,使74160处于置数状态。当下一个计数脉冲到来时,74160置数为0001,计数器的输出状态为0001到0111共7个状态,相应的数码管显示从1到7,也就是代表着星期一到星期七。

4.校时电路

如图5所示,当电子钟出现误差时,需校正时间。校时电路分别实现对时、分、周的校准。由于每个机械开关具有抖动现象,故用RS触发器作为去抖电路。采用RS基本触发器及单刀双掷开关,闸刀n常闭于右边,每搬动一次产生一个计数脉冲,实现校时功能。

如图6所示,当计数到59分时,由U1(接分2的Qc、Qa,接分1的Qd、Qa)输入的全为高电平1,将分触发器RS1置为1。当秒计数到54秒时,U2输出高电平(接秒2的Qc、Qa,接秒1的Qc),将秒触发器RS2置1,经U6相“与”,在和1s标准信号“与”后来控制低音喇叭鸣叫,直至计数为59s时产生一个复位信号,使RS2的Q端清零,停止低音鸣叫。同时59秒信号又和RS1的Q相“与”后去控制高音喇叭鸣叫,从而完成整点报时。

数字电路基本原理篇3

关键词:数字电表电阻直流电流表直流电压表

1.引言

电表是常用的电学测量仪器,数字电表是一种性能稳定、高可靠性的仪表。其以操作方便、高LCD液晶显示器,数据清晰直观、读数准确和测试能力强等优点,可以测量直流电流和交流电流、直流电压和交流电压、电阻、电容、晶体二极管和三极管通断测试及频率等参数的测量。因此,掌握数字多用电表的基本原理及使用方法有一定的意义。

通过使用数字电表的实验,测量电阻值作校正曲线,测量直流电流值和直流电压值并作校正曲线。通过检测常用电子元器件主要性能的方法,对数字电表的使用方法及误差来源进行探讨,对物理实验教学有一定的实际意义。

2.数字电表的原理及功能

2.1数字电表的基本原理

数字多用电表,将测量的电压信号以数字形式显示出来,转换成数字信号,用计数的方法对电压信号进行显示和处理。数字多用表也有类似于普通万用电表的“表头”,其功能的集成电路称为数字表芯电路,该电路能测量电压,并直接与数字管连接,以数字的形式显示出电压值。因此,数字多用电表是一种高度集成、智能化的电子仪表,通过计算器运算后在显示器上精确显示测量结果。

2.2数字电表的基本功能

数字多用表可以直接测量直流电压。其他量的测量都是通过各种转换器转换为直流电压后再进行测量的,例如:RU转换器,即为电阻转换为直流电压;iU转换器,即为直流电流转换为直流电压,aDDC转换器,即为交流电压转化为直流电

4.校正数据分析

通过以上实验数据的测量,作出电阻值的校正曲线图、直流电流值和电压值的校正曲线图。由校正曲线图可以看出,数字多用电表可以精确测量电阻的阻值;测量直流电流和电压的值。实验数据的系统误差较小,测量值的精确度高。

5.结语

实验中用数字万用表测量电阻值、电流和电压表的值,看出数字万用表测量精确度较高。同时用数字万用表可以测量简单电路,常见晶体二极管主要性能的检测,稳压二极管主要技术参数的性能或判断其好坏,还能检测常见电子元器件的主要性能。深入理解数字万用表的基本原理及使用方法,对精确测量物理实验数据很有好处。

参考文献:

[1]黄金华,许星光等.物理实验教程[m].北京:化学工业出版社,2011.

数字电路基本原理篇4

关键词:数字频率计eDaVHDL波形仿真

中图分类号:tn79文献标识码:a文章编号:1007-9416(2013)11-0135-03

1引言

传统的设计方法是基于中小规模集成电路器件进行设计(如74系列及其改进系列、CC4000系列、74HC系列等都属于通用型数字集成电路),而且是采用自底向上进行设计。现代电子设计技术的核心的发展方向是基于计算机的电子设计自动化技术,即eDa(electronicDesignautomation)技术[1]。eDa技术减轻了设计人员的工作强度,提高了工作效率,缩短了产品的研发周期,是电子设计技术的一个巨大进步。超高速集成电路硬件描述语言(Very-High-SpeedintegratedCircuitHardwareDescriptionLanguage,VHDL)语言是eDa设计中一种重要的仿真语言,具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,被广泛的应用于CpLD/FpGa的设计中。

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系[2,3]。数字频率计是一种基本的测量频率的电子仪器,广泛应用于航天、电子、测控等领域。采用常规数字电路设计数字频率计,所用的器件较多、连线比较复杂,而且存在延时较大、测量误差较大、可靠性低等缺点。本文研究了基于eDa的数字频率计电路的设计方法,介绍了数字频率计的相应模块,并开展了相应的仿真实验。

2数字频率计的设计原理

2.1VHDL语言与软件仿真平台

VHDL出现于1982年,是一种针对于电路设计的高级语言。VHDL语言用于描述硬件电路,已经成了一种通用的硬件设计交换媒介[4]。该语言相比于其他语言具有对硬件的描述能力强、覆盖面广、语言精炼简洁、可读性强等特点,并且,VHDL支持支持模块化设计,缩短了开发的周期。

maX+pLUSⅡ可编程逻辑开发软件是altera公司推出的第三代pLD开发系统,该软件提供了全面的逻辑设计能力,包括电路图、文本和波形的设计输入以及编译、逻辑综合、仿真和定时分析以及器件编程等诸多功能。具有包括开放式的界面、与结构无关、多平台、完全集成化、丰富的设计库在内的突出优点。其系统界面如图1所示。

2.2设计基本原理

数字频率计的基本设计原理是选取一个频率稳定度较高的频率源作为基准频率,对比测量其他信号的频率,计算每秒内待测信号的脉冲个数。我们从maX+pLUSⅡ实验台输入两个不同的时钟频率,其中一个作为基准频率(这里选取的是1HZ的CLK信号),另一个作为待测频率,经过相应的分频或倍频后,通过频率计计算出待测频率的频率值。由于本次设计的数字频率计采用1HZ的时钟频率作为基准频率,因此,我们需要计算1秒钟时基内待测信号整形后脉冲的个数,相应的计算结果即为当前频率值,并用十进制数码管显示最终结果[5,6]。

本频率计是8位十进制数字频率计,由四个模块构成:控制模块,有时钟使能的十进制计数器模块,锁存器模块和译码显示模块。数字频率计系统组成方框图如图2所示。

在上图中出现了三个重要的控制信号,分别是计数控制信号、锁存信号和清零信号。其中,计数控制信号是长度为1秒的高电平脉冲周期信号,可以对频率计的每一个计数器的使能端进行同步控制。实验中,当计数控制信号为高电平时开始计数;低电平时停止计数,并保持所计的数;锁存信号的上升沿到来时,将计数器在前一秒钟的计数值锁存在锁存器中,并控制显示模块显示当前数;锁存计数值后,由清零信号清除计数模块中的值。

3模块实现

根据上述描述,数字频率计包含了控制模块,计数器模块,锁存器模块和译码显示共四个模块。下面我们将分别介绍这四个模块,并且给出其中最核心模块控制模块的设计程序。

3.1控制模块

控制模块是本频率计设计的核心模块,既要求对频率计的每一个计数器的使能端进行同步控制,又要求能产生锁存信号将计数值记录到锁存器中。由此,利用VHDL语言设计该控制模块如下,仿真波形如图3所示。

3.2其他模块

计数模块是对被测频率信号进行频率计数测量的模块,由8个一位十进制计数器级联组成,当时钟使能输入端为高电平时,进行计数,反之,锁定计数值。

我们可以先通过VHDL语言编写1个一位十进制计数器,再将其原件化后搭建成八位十进制计数模块。锁存模块由锁存器构成,当锁存信号的上升沿到达后信号被锁存到寄存器的内部,并由锁存器的输出端输出。译码显示模块对四位BCD码进行锁存,并转化为相应的三组七段码,用于驱动数码管,译成能在数码管上显示的相对应的数值。

根据本节所描述的各模块的基本原理,我们分别用VHDL语言进行编程实现,下一节将给出数字频率计的仿真结果。

4仿真结果

在maX+plusii的原理图编辑窗口的空白处双击,将弹出“Symbol”窗口,点击左侧的元件库栏中的project项,选择刚才第3部分中生成的各个功能模块,再点击下方的oK,即可将此元件调入原理图编辑窗口中,并根据各模块功能和频率计的功能进行连接并生成顶层电路文件,如图4所示。

数字频率计的最终仿真波形如图5所示。实验验证了上述设计的正确性。

5结语

本文基于eDa技术设计了数字频率计,描述了各模块的基本原理,用VHDL语言编程实现,并在maX+pLUSⅡ软件上进行设计仿真,给出了最终的仿真实验结果。需要指出的是,用户可以在基本电路模块的基础上,修改VHDL源程序达到增加新功能的目标,例如由目前的8位增加到16位,改变基础频率的输入等,而不必更改硬件电路。

参考文献

[1]李晓辉.数字电路与逻辑设计[m].国防工业出版社,2012年.

[2]郭小东.基于VHDL语言的数字频率计电路的设计[J].信息与电脑(理论版),2009,7:064.

[3]潘明.基于复杂可编程逻辑器件的数字频率计设计[J].广西科学院学报,2002,18(4):244-251.

[4]齐京礼,宋毅芳,陈建泗.VHDL语言在FpGa中的应用[J].微计算机信息,2006,22(12):149-151.

数字电路基本原理篇5

1、课程目标

使学生具备本专业的高素质技术应用型人才所必需的电子电路逻辑设计基本知识和灵活应用常用数字集成电路实现逻辑功能的基本技能;为学生全面掌握电子设计技术和技能,提高综合素质,增强职业变化的适应能力和继续学习能力打下一定基础;通过项目的引导与实现,培养学生团结协作、敬业爱岗和吃苦耐劳的品德和良好职业道德观。本课程目标具体包括知识目标、能力目标和素质目标。

(1)知识目标:熟悉数字电子技术的基本概念、术语,熟悉逻辑代数基本定律和逻辑函数化简;掌握门电路及触发器的逻辑功能和外特性;掌握常用组合逻辑电路和时序电路的功能及分析方法,学会一般组合逻辑电路的设计方法(用SSi和mSi器件),学会同步计数器的设计方法;熟悉脉冲波形产生与变换电路的工作原理及其应用;了解a/D,D/a电路及半导体存储器、pLa器件的原理及其应用。

(2)能力目标:具有正确使用脉冲信号发生器、示波器等实验仪器的能力;具有查阅手册合理选用大、中、小规模数字集成电路组件的能力;具有用逻辑思维方法分析常用数字电路逻辑功能的能力;具有数字电路设计初步的能力。

(3)素质目标:培养学生学习数字电路的兴趣;培养学生团结合作的意识,培养学生自己查找资料能力。

2、课程定位

《逻辑设计》是计算机应用技术专业和电子信息类专业的一门重要硬件基础课,其理论性和实践性很强,尤其强调工程应用。是现代电子技术、计算机硬件电路、通信电路、信息与自动化技术的和集成电路设计的基础。在高速发展的电子产业中数字电路具有较简单又容易集成。通过本课程学习,熟悉小中大规模数字集成电路分析与应用,突出数字电子技术应用性,获得数字电子技术必要的基本理论基本知识和基本技能;了解数字电子技术的应用和发展概况,为后继课程及从事相关工程技术工作和科研与设计工作打下一定基础。《逻辑设计》在电子信息专业课程的地位,表现在其先导课程为《电工电子技术》,要求学生掌握由分立元器件组成的电子电路的识别与检测、与基本分析方法,掌握有关晶体管以及晶体管电路的分析方法等;其后续课程有《微机原理与接口技术》、《单片机技术应用》、《eDa技术应用》等。学习集成电路芯片在计算机及相关电子设备中的应用与作用。

二、逻辑设计课程教学内容

1、教学内容选取依据

(1)以培养高素质技能型人才为目标,教学内容选择与组织突出“以能力为本位,以职业实践为主线,以项目主体--任务贯穿”为总体设计要求,在内容的选取上,首先立足于打好基础。在确保基本概念、基本原理和基本教学方法的前提下,简化集成电路内部结构和工作原理的讲述,减少小规模集成电路的内容,尽可能多地介绍中大规模集成电路及其应用。以能力培养为主线,以应用为目的,突出思路与方法阐述,力求反映当今数字电子技术的新发展。

(2)在教材内容编排上精心组合,深入浅出,做到概念清晰,逻辑设计思想严谨。教学实施中注重重点突出,层次分明,相互衔接,逻辑性强,以利于教学做一体化的整合。在讲义上力求简洁流畅,通俗易懂,便于学生自学。

(3)以实训项目为载体,采取任务驱动教学做一体化的实施,体现理论指导实践,实践深化理论的素质养成目的。

(4)依据各学习项目的内容总量以及在该门课程中的地位分配各学习项目的课时数。

(5)知识学习程度用语主要使用“了解”、“理解”、“能”或“会”等用来表述。“了解”用于表述事实性知识的学习程度,“理解”用于表述原理性知识的学习程度,“能”或“会”用于表述技能的学习程度。

2、教学具体内容安排

表决器电路设计与制作,抢答器电路设计与制作,同步计数器电路设计与制作,方波发生器电路设计与制作,数字钟电路设计与制作。

三、逻辑设计课程教学模式与手段

1、教材编写

教材编写体现项目课程的特色与设计思想,教材内容体现先进性、实用性,典型产品的选取科学,体现地区产业特点,具有可操作性。呈现方式图文并茂,文字表述规范、正确、科学。

2、教学模式

采取项目教学,以工作任务为出发点来激发学生的学习兴趣,教学过程中要注重创设教育情境,采取“教学做”一体化的教学模式,将知识、能力、素质的培养紧密结合,进一步加强职业教育教学改革研究,优化完善我校应用型人才培养体系。

3、教学方法

从教学手段、教案设计、教学思路、语言表述、教学资源等方面着手,对如何在课堂教学中提高学生的学习主动性和兴趣开展教研。教学过程有进行项目引导,任务贯穿,“提出问题”、“引导思考”、“假设结论”、“探索求证”,把握课程的进度,活跃课堂气氛,使大多数学生能够获得尽可能大的收获。采用“发现法”教学方式,使学生建立科学的思维方法与创新意识。学习内容的掌握依赖于学习者的实践,课程组加强了对教师教学及学生学习过程的管理;为使学生理解和有效掌握课程内容,在坚持课外习题练习、辅导答疑等教学环节的基础上,增加随堂练习、单元测验等即时性练习环节,督促学生复习和掌握已学知识点。

4、教学手段

充分利用挂图、投影、多媒体等现代化手段,发挥网络突破空间距离限制的优势,让学生能够最大限度的利用学习资源,自主地学习和提高,弥补课堂上未能及时消化吸收的部分内容。教学过程中相应教学班成立课程提高学习小组,任课教师课外指导该小组进行拓展学习及课外科技活动指导,达到因材施教的目的;一方面教师指导有兴趣能力强的学生进行课外学习,特别是对数字系统设计知识的答疑指导,为能力强的学生提供发展空间,解决因课时数限制而无法在课堂上深入讲授特定工程应用专题的矛盾。也加强了教师与学生的互动,教师可以第一手了解学生对教学过程的反馈,改进教学方法,利用学习好的学生带动整个班级的学习,促进良好班风学风的形成。探讨当前教学环境下,培养学生课外学习能力的新模式。

数字电路基本原理篇6

关键词电子技术基础课程教学内容组织教学改革

中图分类号:G424文献标识码:aDoi:10.16400/ki.kjdkx.2015.04.066

organizationofComputerprofessionalelectronic

technologyBasicCourseteachingContent

BaoLei,GUanBinglei

(Schoolofelectronicandinformationengineering,ningboUniversityoftechnology,ningbo,Zhejiang315010)

abstractelectronictechnologyfoundationcourseisacomputerprofessionalbasiccoursecoversbasiccircuitanalysis,analogcircuitanalysisanddigitalcircuitanalysisofthethreecontent.aimingatteachingproblemsinordertoimprovestudents'interestintakinglessonsasastartingpoint,reorganizeteachingcontent,thechoiceofteachingexamples,experimentaldesignproject,areasonableallocationofteachinghours,increasingrelevanceofcoursecontent,toachievethetruegoalofcourseteaching.

Keywordselectronictechnologybase;courseteaching;contentorganization;teachingreform

0引言

电子技术基础为我校相关计算机专业的一门专业基础课,是学生学好后续课程如计算机组成原理、传感器原理与应用、网络技术等专业课程的基础,也是学生今后从事相关工作岗位的知识与技能的需要。在实际实施教学时,由于课程的概念及内容繁多,学生学起来易感到枯燥无味且难以掌握。如何根据课程的特点和专业的实际需要来组织教学内容以提高教学效果,使课程真正为实际应用和后续课程的学习打好基础,成为课程教学中的一个突出问题。本文根据课程教学中发现的问题,对理论及实践等教学环节,谈谈课程教学内容的组织策略。

1课程教学中出现的主要问题

(1)学生对课程学习缺乏兴趣。主要原因是:①学生对计算机专业的相关情况了解不全面,通常认为该课程不属于计算机的课,是否学好都无关紧要;②学生因对专业知识、电学知识的陌生而产生消极心理,失去课程学习的兴趣。(2)学生的基础参差不齐,而课程概念较多、内容较抽象、逻辑性较强。对实际电路环境有概念的学生,学习兴趣可能建立得较快;但对相关概念空白的学生就会感到课程难懂、难学,继而失去学习的兴趣。(3)先修课程的教学目的不明确,涉及到的课程相关知识掌握不到位,如大学物理中关于电学的物理现象及概念、高等数学中复数的计算方法等等,学生学过也不知所以然;(4)课程内容不合理且偏多,涵盖了基本电路分析、模拟电路分析及数字电路分析三大内容板块,有限的课时需要更合理地统一这三方面知识;(5)教材内容都较经典,与时俱进的实际内容较难体现,学习枯燥感会由此而生。(6)实验教学内容太过于详细,实验的目的无法体现,对知识的理解仅限于表面。

2合理分配教学内容,建立正确教学方法

结合我校计算机专业教学计划,课程的教学课时定为64课时,其中理论课时为48课时,实验课时16课时。课时有限,教学内容应围绕专业的培养计划进行优化选择和重新组织,保证知识的系统性和完整性基础上突出能力培养,增加教学内容的关联性,涉及先修课程的内容以定义式形式给出,充实一些与专业密切相关的内容。

2.1关于理论教学

理论知识是课程实践的基础,成为课程教学中的一个重要环节。电子技术课程涵盖了三大板块知识,理论教学的内容根据专业的特点和需要进行取舍,依据职业岗位对技能和知识的实际需要,重点体现够用,建立合理的知识结构,淡化知识的学术性和理论性;教学思路上遵循电路器件特性、电路处理方法及电路分析方法三条主线,有针对性地选择教学例题,提高教学效果,明确教学目的。

2.1.1基本电路分析

教学内容应强化电路基本概念及电路基本分析方法。电路基本概念主要讲解认识电源和电路基本元件及电路中参考方向学习和识别的意义;电路基本分析方法主要讲解电路基本定律的内容及应用,选择性地讲解基尔霍夫定律、叠加原理及戴维南定理,以例题的方式说明定律的内容及应用,有针对性地建立正确的电路分析方法,对定律的数学证明不作说明。教学中注意引导学生构建正确的学习方法,学会看图,分析电路中的元件和电源,区分电路的类型,确定电路的分析方法,以直流电源作用下的电路作为电路分析方法的学习,后续电路的电源可能不同,但电路经过处理后的目的就是要采用直流电源作用下的电路分析方法,后续电路中重点学习的就是电路如何进行处理;如交流电源作用下的电路首先解决的是如何将电压、电流相量化及元件特性相量关系的建立。基本电路分析中主要涉及的是电路的计算,电源以直流、交流为主,教学例题中可以引入模拟电路需要解决的问题,对模拟电路中出现的元器件可以作为已知条件给出,如二极管、三极管、运算放大器,有机统一基本电路分析与模拟电路分析二方面内容,建立明确的学习目的。

本篇安排8课时,其中电路基本概念约3.5课时,电路基本分析方法约4.5课时。

2.1.2模拟电路分析

教学内容主要包含三个元件二极管、三极管及运算放大器的特性说明及元件应用电路分析。二极管中讲解半导体尤其是杂质半导体的特点,二极管的截止和导通工作状态及对应等效方法,以整流电路及数字电路的基本门电路作为教学例题,建立含二极管电路的处理方法及基本分析思路。三极管中讲解器件的结构特点和工作区域,放大区放大的原理及电压放大电路的组成和性能指标的计算,工作在饱和区和截止区的器件在数字电路典型集成器件与非门中的分析;教学例题主要解决放大状态下三极管管脚、管型的判别,小信号作用下含三极管电路的微变等效电路处理方法,以及分压式偏置下电压放大电路静态、动态指标的计算。运算放大器作为直接耦合多级放大电路讲解如何削弱零点漂移现象,淡化其内部结构,突出器件的外部输入输出特性及线性和非线性工作区域,通过说明扩大其线性区域施加负反馈条件讲解反馈的概念、类型及判别方法;教学例题主要构建含运算放大器的电路处理方法及分析思路,如线性工作区域的器件使用在模拟信号运算中的功能,非线性工作区域的器件组成实用的电压比较器,传感器输出电路中运放的放大作用等。

本篇安排18课时,其中二极管4课时、三极管8课时、运算放大器6课时。

2.1.3数字电路分析

教学内容需淡化数字电路逻辑器件的内部结构及工作原理,重视外部逻辑功能的分析,包括数字电路分析基础、组合逻辑电路的分析和时序电路的分析三大部分。数字电路分析基础中教学内容涵盖数制、码制的概念及其转换方法,逻辑函数的概念及化简方法和意义,基本逻辑门的逻辑功能,数字电路分析方法;由于数字电路的信号源与模拟电路的信号源完全不同,尤其强化分析方法的讲解。组合逻辑电路的分析重点教学的内容包括常用组合逻辑器件的外特性,组合逻辑电路的分析与设计方法;以设计方法设计实用的组合电路如加法器、编码器等,建立中规模数字器件的概念,认识常用中规模集成器件,再讲解中规模组合器件的应用,教学内容因此组成一条清晰的知识连线;教学例题可以偏向与专业密切联系的内容,如计算机中加法器、计算机键盘编码电路、存储器中译码器应用等。时序电路的分析教学内容首先要充分体现时序电路与组合电路的区别,包括电路中组成器件的逻辑特性不同、分析方法的特点等,主要讲解触发器的外部逻辑特性,仅基本RS触发器分析其内部结构以说明触发器中复位与置位功能,其余触发器仅说明其外部的逻辑功能,摈弃其内部枯燥的结构原理说明;以分析触发器组成的计数器电路讲解时序电路的分析方法,同样可以适用其他电路如寄存器电路的分析,同时也揭示了集成计数器的内部结构及原理和功能,解决任意进制计数器的设计问题;教学例题要体现学习触发器逻辑功能的重要性,时序电路的分析和设计思路,将555定时器作为综合例题分析讲解,包含三极管、运算放大器及触发器。

本篇安排22课时,其中数字电路分析基础4课时、组合逻辑电路的分析6课时、时序电路的分析12课时。

2.2关于实验教学

课程实验共8个,安排16课时,包含验证、仪器使用、综合分析及设计项目,基本电路分析实验主要以验证性实验为主,安排4课时;模拟电路分析包含仪器使用、综合分析等项目,安排6课时;数字电路包含验证、综合分析及设计等项目,安排6课时。通过课程实验巩固和加强对理论知识的理解,增加电子技术方面的感性认识及学习兴趣,培养学生对工程问题的基本分析能力、电路的调试技能以及分析和解决工程问题的综合能力,提高学生的工程素质。

(1)对验证性和分析性实验给出实验电路和实验内容,由学生根据实验目的结合理论知识自主决定测量量、自拟实验步骤及实验表格;对设计性实验给出设计要求,由学生自行设计实验电路并调试得出结果;转变学生被动学习的局面,培养学生独立思考、独立分析及解决问题能力。(2)实验项目安排上要体现各实验的相关性,内容安排体现从元器件到单元电路再到系统设计。如示波器、信号发生器的使用主要用于电压放大电路及运算电路的实验测试;数字电路器件逻辑功能测试与具体应用电路相结合。以往实验中出现问题时通常有器件本身存在问题,但学生实验前总是忽略器件的好坏,实验中的问题难以入手解决,强调实验的相关性可以开拓学生解决问题的思路,进一步掌握实验中的主动性,并且各实验的目的也更加明确,对课程从理论到实践的学习过程做到循序渐进地完成。(3)丰富实验内容,将实用小电路、电子竞赛试题等应用于实验中,或分析或设计,增加实验的趣味性。课时限制使得实用电路在某个实验中不可能完整实现,但可以将其中的单元电路作为实验的内容,其他部分以模块代替,实现电路的功能。(4)实验前预习内容及实验后的思考问题与实验内容密切关联。实验前的预习可以保证实验的有序进行,进一步理解学习的相关理论知识的应用性,从而提高学习课程理论知识的兴趣;实验后的思考是对实验中的总结、实验中出现问题的解答、实验数据的分析等,培养学生建立综合分析问题的方法及理论联系实际的能力。

数字电路基本原理篇7

abstract:accordingtotheteachingexperienceinrecentyears,themainexistingproblemsintheteachingprocessofdigitalsystemdesignareanalyzed.CombinedwiththecharacteristicsofeDapracticalteaching,someideasforteachingreformareproposedandthereformpracticeiscarriedout.theresultsshowthatthereformhasabetterteachingeffect.

关键词:可编程逻辑器件;教学改革;实验教学

Keywords:programmablelogicdevice;teachingreform;experimentteaching

中图分类号:G642文献标识码:a文章编号:1006-4311(2015)35-0131-03

0引言

eDa技术凭借自身的优点在教学、科学研究、工程开发方面得到了越来越广泛的应用,广义的eDa技术包含电子系统设计仿真分析、可编程逻辑器件开发应用、专用集成电路开发应用等方面,各方面设计应用具有不同的设计软件和硬件,在教学中各自发挥着不同的作用[1-2]。其中,基于FpGa/CpLD的数字系统设计教学已经成为本科实践动手和创新能力培养必不可少的一个环节,也是目前电子技术类课程教学改革的重要方向。相比于传统数字电路,FpGa/CpLD具有不可替代的优越性。但是在传统的数字电路如何与现代的数字系统设计方法相结合、FpGa/CpLD实验系统建设、数字系统设计课程的大纲建设、数字系统设计教材建设和数字系统设计实验内容设计等方面还有很多工作需要不断的研究与实践探索[2-4]。为了适应社会对创新型和工程性人才的需求,不断进行eDa实践教学改革是必然的趋势。本文将着重介绍数字系统实验教学中存在的问题以及教学改革思路与实践探索。

1数字系统设计实验教学中存在的问题

西安工业大学对eDa技术很早就开始重视,在电子、通信、生物医学电子类专业开设了数字系统设计理论课程和与之对应的独立设课实验,理论课安排32课时,主要学习数字系统设计方法、可编程逻辑器件概述以及VHDL程序设计语言,独立设课实验安排24课时。实验教学取得了较好效果,学生的动手实践能力得到加强,对理论课程理解更为深刻。但是也暴露出一些问题,有些问题也是各个学校的共性问题,主要存在这几个方面的问题:

①大部分学生习惯于验证性实验的模式,喜欢拿来主义,只按照老师讲解和讲义的实验内容完成实验,没有对其中的实验现象和结果认真思考,在实验报告中也不进行认真总结,应付差事,导致实验教学效果大打折扣。②由于课时限制以及学生基础等方面的原因,综合设计性实验完成效果不很理想。③由于有些专业没有开设eDa相关课程和实验,在毕业设计中用到可编程逻辑器件时,无法上手。④单一的实践教学手段不能很好地调动学生学习的积极性。实验原理内容步骤等固定模式,固定统一的实验箱等限制了一部分优秀学生的发散思维和创新意识。学生开展数字系统设计相关实践的渠道较少,高年级学生在做相关课题时,牵扯到可编程逻辑器件时,表现出能力不足,基础不好的现象。

2改革思路与实践

数字系统设计涉及面很广,内容较多,从实验教学的要求看,主要应掌握如图1所示5个方面的内容。

针对实验教学中存在的问题,结合数字系统设计实验教学要求,笔者对数字系统设计实验教学环节进行了认真的思考与积极的探索实践,总结了以下四点内容。

2.1eDa实验与数字电路相结合随着现代电子设计自动化(eDa)技术的发展,大多数高校将eDa技术设置为一门必不可少的专业基础课。由此产生了传统的数字电路基础教学与现代eDa课程在教学内容和实验安排上的不同的看法。比如现代数字系统设计方法是否可以完全脱离数字电路课程的基础?传统的数字电路课程如何与现代最新的数字系统设计方法相结合?笔者认为数字电路课程的学习是为了更好地掌握现代eDa技术,而eDa实验环节是数字电路学习过程中的提高与升华阶段。

西安工业大学以前的数电实验24学时全部由传统基础数字芯片来完成,计划在卓越班试点将基于FpGa/CpLD的eDa实验引入数电实验,调整为传统数字电路器件12学时+可编程逻辑器件12学时。使学生初步具备硬件设计软件化的理念。初步掌握使用eDa的设计工具完成简单数字电路。条件成熟后先在电信学院所有专业引入基于FpGa/CpLD的eDa实验,然后在全校工科电类相关专业开设。

2.2建立分层次相互衔接的实验教学体系整个实验体系分为基础型、提高型、综合设计型3个由浅入深的层次。3个层次组成了一个有机的整体,前面层次是后面层次的基础。在具体的实验内容安排上,遵循由易到难,由模块到系统、任务前后有机衔接的规律。培养学生从基本单元电路到系统电路设计的能力。改变传统实验项目独立与封闭的状况,体现实验学习的层次性和连续性。

基础型实验是提高型实验与研究创新型实验的基础,而提高型实验又是综合设计型实验的基础。比如基础型实验中60进制计数器与24进制计数器是简单数字电子钟设计的核心模块,在进行数字钟设计时可以直接调用前面的模块。

在同一层次内,在所有实验项目中,均设立了基本功能部分和能力拓展部分。对于基本部分,所有学生必须保质保量完成,而能力拓展部分鼓励动手能力强、思维活跃的学生进行拓展与创新。比如在流水灯设计实验完成后,在老师规定实验任务的基础上实现其它花样流水显示,同学们自己动手调试。在数码管扫描显示电路设计完成后,可以对显示数据进行修改或者设计来自a/D采样的数据、来自分时锁入的数据、来自串行方式输入的数据、来自常量兆功能模块或来自单片机等。还有比如译码器设计,一提到译码器,学生就联想到3~8译码器,以为译码器只能完成3~8译码的功能。学生完成实验后对译码器的理解仍然很局限。在此基础上进行了可以适当拓展。学生在完成3~8译码器的设计、仿真与硬件验证后,鼓励学生根据自己的意愿进行译码,可以完成8路花样彩灯电路设计。通过实验学生能够真正理解译码器的本质含义及其应用。

本体系以能力培养为主线,采用分层次、多模块、相互衔接的实验教学体系,符合学生的认知和学习规律,效果良好。

2.3引入设计性和开放性实验,建设开放性实验室设计性和开放性实验是指在实验前教师给出实验目的、实验要求,学生拟定实验方案,教师对方案认可后学生自行完成实验,并将实验结果上交教师,最后,学生根据建议完善实验、完成实验报告。这种实验模式由学生自行设计实验方案,可以大大激发学生的兴趣,提高学生动手能力,培养创造性思维。

笔者认为在高校在进行有关实验室建设时,特别是开放实验室建设时,不一定全部购买常规的、高档的实验设备与仪器,而应该购买一定数量的核心开发板,如CpLD开发板、单片机开发板等以及丰富电路模块,比如各位传感器、通信模块、显示模块等。学生在成品实验箱上做实验,难以真正理解设计的原理,无法深刻体会系统设计与工程设计的概念,感受自己设计出电子产品的乐趣。学生可以根据题目和功能分析需求在核心开发板上设计并制作出电路。

学生制作核心板扩展电路的过程是一个极为有效的自我学习与锻炼的过程,因为对刚接触专业基础课的学生而言,除了必须仔细考虑器件的型号、数量、布局、价格、焊接电路板的成本与质量等因素外,还得积极思考诸如:数码管的显示是采用静态扫描方式还是动态扫描方式、电路的限流、按键是采用高电平有效还是采用低电平有效、时钟信号是如何产生的、如何进行信号分频、FpGa/CpLD核心板的引脚排列与各引脚功能等具体技术问题,将理论知识应用于实践中[5]。真正明白所谓的eDa设计究竟是怎么一回事,极大地激发了学生的内在潜能。

对于设计性和开放性实验,严格要求撰写设计报告。学生应按规定的格式编写设计报告。应包括封面、正文、设计小结和参考文献等。正文主要包括:设计任务和要求;系统控制要求和流程;主电路的设计和元器件的选择方法;元器件型号和规格明细表;程序流程图;电路原理图;程序清单及系统调试中出现的问题和解决措施;注意事项;收获体会、存在问题和改进办法等。

对于设计性和开放性实验优化考核方式。建立明确的考核指标:设计方案分析;实际动手能力测试;创新点评价;设计报告的是否规范、正确;分组答辩。在进行设计验收和成绩评定时,要着重考察学生设计方案的正确性和相关知识的掌握情况。在注重结论正确的同时,强调整个设计和方案实施的全过程。即使结论不尽如人意,只要学生能找出其中原因并提出改进措施,仍可以获得较好成绩。

2.4鼓励教师结合科研和工程课题、积极开发综合设计性实验内容只有通过综合设计性实验,学生才能真正体会模块到系统的概念,建立工程设计的理念、掌握自顶向下的设计方法,而不是局限在单元和模块这个范围之内[6]。部分综合设计性实验提供设计思路和原理图,以培养学生独立思考问题的能力,充分调动学生的创造性思维。例如,要求学生完成一个模拟数据采集与检测系统,给出实验设计要求和参考原理框图,学生自己独立完成。

①设计要求:设计一个6路模拟信号循环采样电路,要求采样间隔为3s至6s。对采样信号设置上下限。要求采样信号在上下限之间时,经过D/a转换输出模拟量,当采样信号超限时,使D/a转换输出为零,采样一直停留在该路信号上。设计采样通道号显示电路,要求用七段数码管显示当前采样通道。

②原理框图可参考图2所示。

目前电工电子实验中心已建立电子技术开放实验室,并进行网上开放实验预约,每学期全校大约有150人左右选择基于可编程逻辑器件的系统设计题目,约20组团队以FpGa/CpLD为主要器件在开放实验室完成大学生创新训练项目课题。依托数字系统设计开放实验平台,近三年学生积极参与全国各类电子设计大赛并取得丰硕成果,获省部级竞赛奖150多项。目前正在给3个电子技术实验室申报CpLD开发板和微型计算机,等建设完毕可以满足每学年1500人在实验室完成eDa软硬件实验。近三年项目课题组积极开发申报综合设计性实验项目,累计开发综合设计性实验项目15项。这些工作实践为教学改革工作的开展提供了有力的保障。

3结束语

随着电子技术的飞速发展,数字系统设计课程已成为电子信息类专业的必修课程,然而如何让学生在有限的学时内掌握eDa技术的核心知识,达到学以致用的目的仍是一个难题。结合西安工业大学数字系统设计实验教学的实际情况,提出几点教学改革思路并在教学实践中进行了验证,取得了较好的教学效果。在今后的工作中,应不断地结合实践教学的实际情况,从实验实践教学方式、教学方法及教学手段等方面进行改革。促进学生掌握eDa设计方法、设计流程,改善教学效果。

参考文献:

[1]潘松,黄继业.eDa技术与VHDL(3)[m].北京:清华大学出版社,2008.

[2]梁洪卫,高丙坤,等.“eDa技术与应用”实验与实践教学改革[J].实验技术与管理,2011,28(1):147-149.

[3]黄卫华,贾历程,等.基于FpGa的eDa实验系统改革与实践[J].实验室研究与探索,2012,31(4):203-206.

[4]陈莉平,任艳频,等.多层次开展eDa实践教学的探索[J].实验技术与管理,2008,25(10):92-93.

数字电路基本原理篇8

论文关键词:数字电路与逻辑设计,教学模式,教学方法,实践教学

一、三本院校课程教学现状

三本学生中多才多艺的较多,平时开展各种社团活动比较频繁,学生自主创新思维活跃,但能够有条不紊自主学习的学生可能只有一少部分,许多学生对学习没有兴趣,课余时间几乎不学习。在教学过程中,刚开始学生还可以接受一些新知识,但随着教学的深入,学习难度的增大,学生感到了困难,随之学习的兴趣也越来越低,主动学习便是一句空话,学生也就是为了应付考试,甚至不少学生都是考前突击。这一特点在《数字电路与逻辑设计》课程的教学中也同样存在。要提高本课程的教学质量,我们在定位教学目标,设置教学内容,采用教学手段和方法的时候都必须以这一实际情况为前提。

二、教学理念,教育目标

三本教学有别于一本和二本,教学注重于学生应用能力和综合素质的培养,教学过程中突出培养学生应用知识,分析解决实际问题的能力,以学生为主体,以教师为主导,以教学为主线,树立能力培养目标为重中之重的思想,实现人才培养模式多元化,努力培养“宽口径、厚基础、强能力、高素质”,适应国际竞争和社会需求的应用型人才。三本教育要加强通识教育,注重文理渗透理工结合,体现本科教育的基础性和可发展性。努力探索人才培养新举措,深入推进人才培养模式改革,实现多元化人才培养新格局,大力实施“育人为本,全面发展”的人才培养战略,拓宽基础学科的范围和基础教学的内涵。

三、教材选取

考虑到三本学生理论基础较差,教材选取不应选择理论研究或理论推导比较复杂的教

材,否则会让学生还未涉及到重要的知识点就已经因为难度过大而丧失信心。教材选取要以应用为宗旨,强调理论与实践相结合。编写原则遵循由浅入深,通俗易懂,重点和难点采取阐述与比喻相结合,例题与习题相结合,实例与实验相结合,针对数字电路课程实践性强的特点,增加了与教材相应的实践环节教学内容。

四、教学内容

在三本的《数字电路与逻辑设计》教学中,应该注重基础教学,要求学生熟悉布尔代数的基本定律,掌握卡诺图与公式化简法;掌握数字电路中常用的基本单元电路和典型电路构成、原理与应用;掌握常用的中小规模组合逻辑电路和集成电路功能和设计方法。具有查阅集成电路器件手册,合理选用集成电路器件的能力。对集成芯片,重点分析电路的外特性和逻辑功,以一些典型集成电路为例介绍如何查阅集成电路手册、资料等,使学生学会在实际应用中正确选择和使用集成芯片[11]。

对于三本学生而言,在电路设计中要求学生掌握基本的设计方法,但可以适当降低对电路设计的要求,增强电路分析方法的教学。学生可以分析较复杂的电路,并且能够利用已有的电路进行修改,使电路满足自己设计的需要。

五、教学手段与教学方法

(一)采用现代化教学

《数字电路与逻辑设计》课程的特点就是电路图、逻辑图特别多,如果采用板书形式教学,既浪费课堂时间也达不到好的教学效果。教学过程中采用多媒体教学,可以使一些抽象的、难以解决的概念变得形象,易于学生接受。对于集成电路的分析和设计,为了增强演示效果,除了在ppt中添加更多的动画效果外,还可以采用Flash或authorware软件制作动画效果,使电路的变化过程一目了然。

(二)结合实际教学

在授课过程中,针对三本学生可以结合生活中的应用举例,如目前LCD显示、数字温度计、十字路口交通灯控制、数字频率计、多媒体pC机里的显示卡、声卡是用数电中的数/模(D/a)转换实现图像显示和声音播放、制造业中的数控机床等都应用了数电技术。通过这些实例的介绍,可以使学生真正了解数字电路课程的重要性,从而提高对数字电路学习的兴趣和学习积极性。

(三)网络教学

网络教学可有两种方式,一是上传教师课堂教学过程的视频到校园网;二是教师制作图文并茂的课件,以及与该课程有紧密关系的资料一起上传到网上。目前大部分三本学生宿舍都可以登录校园网,学生可以在任何时间进行网络教学。网络教学的方式解决了学生传统的看书自学枯燥无味的问题。

六、实践教学

实践教学一般分为基础实验和课程设计两大部分。基础实验教学从属于理论教学,实验内容均为验证性实验。教师给出实验步骤、电路图,学生按部就班、验证结果,通过基础实验,使得学生对于课堂所学基本概念和方法的理解和掌握更加透彻,同时培养学生科学实验的精神和方法,训练严格严谨的工作作风。基础实验是理论和实际相互联系的一个重要教学环节,但是仅仅是这种以教师为主导的实验模式,不能激发起学生学习兴趣和积极性,学生仍然不善于综合运用所学知识分析和解决问题。课程设计的目标就是为了加强基础、拓宽知识面、增强学生的自主学习和工程实验能力、发展个性、启发创新、加强理论与实验。学生根据实验任务,自行设计电路和测试方案,增强学生自主学习能力,学生既动脑又动手,解决问题的能力大大提高[12]。

除此之外,还可以设置一些电子设计大赛,成立电子设计兴趣小组,在教师的指导下开展设计性和专题研究性实验,为希望进一步发展的学生提供良好的学习环境和创新研究场所,培养学生的团队协作精神,发挥学生学习的自主性和创造性,极大地提高学生的学习兴趣和动手能力。

七、结束语

随着高等教育的普及,三本学生的数量和质量也在日益增高,同时随着数字技术的广泛

普及,数字化社会已经到来,大规模、超大规模数字集成电路以其低功耗、高速度等特点,应用越来越广泛。因此如何在有限的时间内使三本的学生扎实掌握数字电路基础知识理论和基本操作技能,培养分析问题、解决问题的能力,是教师在教学过程中需要认真思考的问题。使学生在传统的数字电路逻辑分析、逻辑设计思维训练的基础上进一步建立起现代数字电路的应用与设计思想,掌握现代电子技术的新技术和新器件,为走向实际工作岗位打下坚实的基础。

参考文献

[1]谢丽.《数字电路与逻辑设计》教学改革实践.吉林省教育学院学报,2012年第02期

[2]李琰,张翌呖.数字电路的教学改革与创新.计算机光盘软件与应用,2011年第22期

[3]李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报(自科版),2oo4(4)

[4]田东.数字电路课程设计的改革与探讨.实验技术与管理,2006年05期

[5]马达灵,张云云.《数字电路》课程教学改革之我见.集宁师专学报,2008年12月第30卷第4期

[6]邓朝霞.《数字电路》课程整合与优化的改革.广西教育学院学报,2006年第6期

[7]张丽.高职《数字电路》课程教学方法的探索.读与写(教育教学刊),2010年04期

数字电路基本原理篇9

器件的选型

选择芯片时除了要满足基本的接收数字电视功能,还要能够使用户通过有线电视网络实现internet的高速接入,即要具备StB和Cablemodem两种基本的应用模块。根据这一需求,本设计选择了ConeXant(科胜讯)公司的交互数字电视机顶盒单芯片cX24430为核心来设计整个系统。

系统的整体结构

本系统以CX24430芯片为核心,还包括电缆调谐器(tuner)、上行功率放大器、作为固化程序和存储节目参数用的闪存、配合CpU处理和存储数据使用的SDRam、eepRom、音频DaC及音视频输出电路和电源单元等,此外,还有用于软件调试的multi-iCe接口和用于终端监控的RS23接口以及USB接口、1394接口和以太物理层RJ45接口等。系统结构框图如图1所示。

本系统设计的主要创新之处在于系统采用双CpU架构,各自独立地支持Cablemodem和系统的应用程序运行。基本工作流程是:数字电视信号从电视台经过有线电缆传输到用户后,若要恢复出原始的图像和声音,需要经过多个过程。首先进行信道解调和解码,然后对输出的传输流进行信源解码,包括解复用、音视频解码、图形处理、视频编码和音频DaC等。

主要模块的硬件设计

1 系统前端接人部分的设计

综合业务数字有线电视双模机顶盒的前端射频接入信号主要包含下行带内的数据流(包括音视频和ip网络)、下行带外的数据流和上行数据流(包括带内和带外);其中带内频率的通道用来传输音视频和ip网络的数据,带外频率的通道主要用来传输CatV网络头端的电缆调制解调终端系统(CmtS)和用户端的机顶盒的控制参数和交互信息,而且各种信息和数据在HFC网络上通过频分复用和时分复用得到并行的处理。

前端接入部分的设计如图2所示。其中,pmXl338C为集成模块,接收射频信号,包括Lna低噪放大器、同向双工器(将发射和接收的射频信号隔离)和信号分离器(将下行信号分发到相应的端口);mtl530包含反混频滤波和上行信号功率放大;CX2443x用做数字解码和编码以及输出到外部接口。

音视频输出部分电路设计

在机顶盒接收系统中,CX2443x后端子系统的视频和音频解码器构成了系统音视频输出接口;解码后的音视频信号从半导体晶振输送到立体声DaCCS4335,或者从双重的音/视频信号开关输送到CX2161R。音视频信号输出部分的电路如图3所示。

音视频解码的原理和电路设计实现

1 音视频信号解码处理的流程

数字电视技术的首要目标是提高传送图像的质量,完成对数字有线电视的相关改造后,不仅传送图像的质量而且传送图像的数量均要得到提高。CX2443x是高度集成的单片iC,它在一块芯片上包含了完整的StB后端子系统和Cm子系统。其后端子系统包含有一个视频解码器、一个音频解码器、三个多标准传输流解复用器和高性能的嵌入式32位aRm920tRiSC处理器以及集成的外设i/o接口等。CX2443x片上的数字电视解码内核能够对音视频流信号进行完全的解码并最终输出数字的视频和音频信号到编码模块。

信号流的处理过程如图4所示。完成了解调和信道解码的mpeGⅡ格式或其他格式的音视频信号传输流在解复用模块进入DtDC子系统,它包含了音视频的基本码流、同步信息、程序导航和解密信息。解复用分析器标识这些不同格式的数据并输送每一格式的数据到相应的内存缓冲器。程序导航和解密信息则被输送到DtDC外部主机;音视频的基本码流被输送到专用的SDRam中,并通过存储桥传送到相应的解码器以输出音视频的解码数字信号;同步信息则被输送到一个音视频同步机。

2 音视频解码模块的电路设计实现

CX2443x和其他子芯片以及晶振电路协同完成信号的解码处理,如图5所示,这部分设计主要包含音频视频信号模块、系统调试用的JtaG口的电路连接,以及一些电路。CX2443x芯片输出完成数字解码的音频和视频到相应的编码模块。JtaG用来连接外部的调试设备,在实际电路设计中要注意外部晶振电路的配合。

机顶盒音视频解码测试

数字电路基本原理篇10

关键词:寻北;带通滤波器;正交解调;数字滤波

中图分类号:tp274文献标识码:a

文章编号:1004-373X(2009)21-124-03

Designandimplementationofnon-gyronorthFinderSignalprocessingCircuit

BaiYunchao1,LiUSiwei1,2,tianYumin1,CHenXiaobi1

(1.Xi′anResearchinstituteofSurveyingandmapping,Xi′an,710054,China;

2.DepartmentofautomationConctrol,northwesternpolytechnicalUniversity,Xi′an,710032,China)

abstract:non-gyronorthfinderisanovelfindingnorthtechnology.theprinciplesofitsnorth-seekingandoutputsignalprocessingcircuitdesignareintroduced,theimplementationofbandpassfilter,digitalquadraturecomputingcircuitanddigitalfiltercircuitaredescribed.orthogonalcomputinganddigitalfilteringusingadedicateddigitalchipstocomplete,thesystemcanovercometheerrorinherentinanalogcircuitsandsysteminstability,suchasinadequate,italsocanreducetheDSpcomputationalburden,meetnon-gyronorthfindersignalprocessingrequirementsofhighprecisionandfast,allownon-gyronorth-seekingtechnologyfromtheoreticalresearchintopracticalequipmenthasbecomepossible.

Keywords:northseek;bandpassfilter;quadraturedemodulator;digitalfilter

高精度寻北仪可以分为传统的陀螺仪寻北仪和非陀螺寻北仪[1]两类。利用陀螺仪寻北的方法受陀螺仪本身的精度和成本的限制,很难做到高精度和低成本并存。而利用高精度的加速度计研制非陀螺寻北仪则可突破这一局限,并可实现高精度、自动化、快速化寻北,从而成为寻北仪研究的一个新的技术方向。许多参考文献对该寻北原理和信号处理的方法进行了大量的仿真研究,但对电路的具体实现进行研究的较少,本文是进行该寻北仪电路构成的设计。

1非陀螺寻北原理

非陀螺寻北的原理是将一只加速度计安装在恒速转台上,以转台边缘的某个切点为参考点(相对运动),其切向速度与地球自转角速度的北向分量形成复合运动产生哥氏加速度。通过转台动态调制,地球自转角速度的北向分量与该点上切向速度复合生成的哥氏加速度输出为一正弦信号,利用高精度的石英挠性加速度计检测出该正弦信号的峰值所对应的相位,即为地球上所在位置的正北方向[2],从而实现寻北。其基本原理如图1所示。

图1基于哥氏效应的寻北方案动力学基本原理

设转台的转速为Ω,加速度计测量轴ia垂直向上,距离转台轴的偏心距为ρ,ωn为地球速率的北向分量。加速度计实际输出为:

a=f+Fmcos(Ωt-Ψ)+ω

(1)

式中:f=-g+a0,a0为加速度计的零偏,g为重力加速度;ω为输出噪声;Fm=2Ωρωn,为最大Coriolis加速度;Ψ为转台的初始方位角。

上述信号的直流部分通过交流放大器可以滤掉。已知ρ和ωn为常值;另外,通过锁相回路将编码器和电动机闭环,使Ω保持恒定,所以Fm为常值。利用编码器的基准脉冲,对正弦信号进行同步检波,就能计算出初始方位角Ψ[3,4]。设:

F=Fmcos(Ωt-Ψ)+ω=acos(Ωt)+Bsin(Ωt)+ω

(2)

式中:a=FmcosΨ,B=FmsinΨ。

通过0°和90°同步检波器,得:

=Ω4∫t0Fsgn[cos(Ωt)]dt

=Ω4∫t0Fsgn[cos(Ωt)]dt

(3)

式中:t=2π/Ω为转速周期。由式(3)得方位角:

d=atctan(/)

(4)

2电路的设计与实现

2.1电路的设计原理

寻北系统选用了分辨率优于1×10-6的高精度石英挠性加速度计作为检测传感器,相应的信号处理整体思路框图如图2所示。为了实现对数据的实时处理,正交变换以及数字滤波电路采用了专用数字信号处理芯片来完成。

图2信号处理器方块图

2.2电路的实现

2.2.1高精度i/V变换

所选用的石英挠性加速度计的输出为电流信号,其标度因数为1.3ma/g。因此需利用i/V转换电路将被测的微弱电流信号转换为电压信号。i/V转换电路输出信号包含直流和交流两个部分,而只有交流信号是有用,还需进一步利用交流放大器进行电压放大,以隔离掉直流成分(即加速度计的零偏及重力加速度),放大交流信号。

2.2.2带通滤波器的设计

转台的转速为900r/min,则加速度计实际输出的有用信号的频率为15Hz。采样数据中除了有用信号外,还包含低于15Hz的低频成分、整数倍频信号以及非整数倍频信号等较大的噪声,其次信号经过导电滑环、传输电缆以及运算处理电路,也会产生噪声信号。为了提高线路的信噪比,设计了高Q值的带通滤波器进行滤波。

普通有源滤波器参数调整困难、稳定性较差,较难实现窄带宽的设计,不易获得高的Q值。这里采用maX260集成有源滤波器来设计带通滤波器。maX260是CmoS双二阶通用开关有源滤波器,不需外部元件就可构成各种带通、低通、高通、陷波和全通配置。可在程序控制下设置中心频率f0、品质因数Q和滤波器的工作方式[5]。该芯片采用24引脚Dip或So封装,有四种工作方式及各自的时钟输入和独立的f0和Q控制。按图3的方式连接,可以构成四阶有源滤波器。滤波器设计可通过计算机结合maxim提供的设计软件实现对芯片的功能以及各项参数的设置[6]。

2.2.3a/D转换及正交化电路的设计

图4是数字正交解调电路的一种基本模型,输入的模拟中频信号首先经过a/D变换,实现数字采样,其数据流分两路通过数字乘法器分别与本地数字振荡器(nCo)产生的cos分量和sin分量相乘,实现输入信号的正交变换。

图3用maX260设计的四阶带通滤波器

图4数字正交解调原理框图

图5所示是一种运用于矢量信号分析仪的数字解调电路,其构成包括1片12b输出的a/D转换芯片aDS809、1片数字混频器HSp45116和2片低频数字滤波器HSp43220以及DDS时钟电路和2片FpGa控制和芯片初始化电路[7]。

图5采用HSp45116构成的正交解调电路原理框图

输入信号s(t)首先经过aDS809进行数字化。a/D采样后的数据流进入数字混频器HSp45116,在芯片内数据流分成两路分别与数字振荡器的输出相乘,实现正交变换。HSp45116是一款高性能的数字混频器,最高工作时钟频率为33mHz,片内包含具有两路正交输出的数字控制振荡器(nCo)和一个16位高速乘法器/累加器。图6是HSp45116内部的简化原理框图。可以看出,芯片功能分3个主要部分:相位/频率控制、sin/cos振荡器和乘法器/累加器(CmaC)。相位/频率控制由外部控制总线通过FpGa设置相位步进值实现nCo的频率控制,相位累加器为32b。相位累加器取高20b输出作为地址查找sin/cos表,于是nCo产生两路正交分量sin和cos。在电路中,为实现中频信号的正交解调,信号从Rin输入,iin置为0[9]。

图6HSp45116内部原理框图

系统时钟采用DDS(直接数字合成)形成,可以实现时钟频率、相位以较小分辨率进行改变,因为在系统要求中提到i路和R路输出信号采样率与被测调制信号码元速率成整数倍关系,为了满足这一点,系统时钟同时提供给a/D变换器、HSp45116和HSp43220。另外,系统中还包括FpGa,主要用于对HSp45116和HSp43220的初始化和控制。

经过HSp45116变换后输出的两路数字信号送入集成数字滤波器HSp43220进行数字滤波。HSp43220是一款具有线性相位特性的抽取数字滤波器(DDF),其主要应用特点是高速率数据输入、低速率输出。DDF采用两级串联滤波器结构实现,两级滤波器结合可获得16384的信号抽取能力。信号输出包括24b信号数据流和Data_RDY信号线。根据系统要求,HSp43220在系统中的主要作用有三个方面:滤除数字正交混频产生的二次谐波分量;灵活和大范围的抽取因子设置解决输出基带信号采样率与码元速率的关联问题;优越的低通特性以及可编程通带频率设置[10]。

滤波后的数字信号送入FpGa,在码盘输出的第一个零位信号到来时,FpGa在码盘输出的脉冲倍频

信号的控制线下不断读取输出数据,并累加,在码盘输

出的第二个零位信号到来时,停止数据采集和累加运算,并给出数据好信号,从而实现积分运算。DSp通过i/o口读取到FpGa数据好信号后,读出FpGa数据寄存器中的数据,按式(4)计算出寻北仪零位的方位角。

3结语

给出了非陀螺寻北仪详细的电路设计思路与具体实现过程。采用高性能的专用数字混频器HSp45116和集成数字滤波器HSp43220来实现非陀螺寻北仪中加速度计输出信号的正交运算和数字滤波,具有良好的信号混频和正交解算性能,既能克服模拟电路固有的误差和系统的不稳定等不足,又减轻DSp的运算负担,满足非陀螺寻北仪所要求的高精度和快速性要求,从而使得非陀螺寻北技术由理论研究转化为实用仪器装备成为了可能。

参考文献

[1]张志君.基于光纤陀螺的寻北定向技术研究[D].长春:中国科学院长春光学精密机械与物理研究所,2005.

[2]孙国富,顾启泰,刘学斌.近代找北系统发展[J].舰船导航,2000(3):9-16.

[3]李本亮,顾启泰.非陀螺找北仪的时序分析与数字滤波[J].清华大学学报:自然科学版,2001,41(11):71-73.

[4]顾启泰,孙国富,刘学斌,等.非陀螺快速找北系统\.清华大学学报:自然科学版,1999,39(11):107-109.

[5]王国荣.微弱电流的测量与i/F变换电路的设计[J].核电子学与探测技术,2005,25(4):358-363.

[6]刘金如,杨晓慧.一种基于maX261的程控滤波器设计\.江苏冶金,2005,20(1):13-17.

[7]于巍巍,颜德田,杨樾.基于maX260的自动跟踪滤波器的工程设计与应用\.现代电子技术,2008,31(5):76-78.

[8]陈向民,张辉.一种用于信号分析的数字正交解调电路设计[J].电讯技术,2007,35(6):65-69.

[9]HSp45116numbericallyControlledoscillator/modulatorDataSheet[Z].1999.

[10]HSp43220DecimatingDigitalFilterDataSheet[Z].2004.