硬件电路设计规范十篇

发布时间:2024-04-30 00:32:00

硬件电路设计规范篇1

关键词:板级设计;eDa工具;硬件连接检查;perl语言

中图分类号:tp311文献标识码:a文章编号:1009-3044(2008)33-1496-02

DiscussionofHardwareConnectivityvalidationmethodinBoardLevelDesign

JianGYuan-jun,wUXiu-long

(SchoolofelectronicScienceandtechnology,anhuiUniversity,Hefei230039,China)

abstract:Basedonthedevelopingtrendofboarddesign'shigh-speedandcomplication,itisnosurprisethathowtoshortenthetime-to-marketofproductsisanimportmetricforeverydesigncompany.afterfixingonthearchitectureofsystemandfinishingthedesignentry,engineerscanuseeDatoolstodoeRCcheckinordertoreducedesignerrors.ButeDatoolsareshortofthefunctioninhardwareconnectivitycheck.inthisarticle,wewilldiscussthefeasibilityandsuperiorityofusinganewmethodtogoonwiththehardwareconnectivitycheck,whichisbasedonperl(practicalextractionandReportLanguage).

Keywords:boardleveldesign;eDatool;hardwareconnectivityvalidation;perl

1引言

目前的电子设计大多数是集成系统级设计,整个项目中既包含硬件整机设计又包含软件开发。这种技术特点向电子工程师提出了新的挑战。

首先,如何在设计早期将系统软硬件功能划分得比较合理,形成有效的功能结构框架,以避免冗余循环过程;

其次,如何在短时间内设计出高性能高可靠的pCB板。因为软件的开发很大程度上依赖硬件的实现,只有保证整机设计一次通过,才会更有效的缩短设计周期。

众所周知,电子技术的发展日新月异,而这种变化的根源,主要因素来自芯片技术的进步,半导体工艺日趋物理极限,超大规模电路成为芯片发展主流[1]。而这种工艺和规模的变化又带来了许多新的电子设计瓶颈,板级设计也受到很大的冲击,最明显的一个变化是芯片封装的种类极大丰富,功能集成度、复杂度明显增高;另外,芯片工作频率提高,使得系统工作频率的提高成为可能。而这些变化必然给板级设计带来许多问题和挑战。首先,由于高密度引脚及引脚尺寸日趋物理极限,导致低的布通率;其次,由于系统时钟频率的提高,引起的时序及信号完整性问题;第三,工程师希望使用功能更完备的eDa工具来完成复杂的高性能的设计[2]。

据此,我们不难看出,板级设计有以下三种趋势:

1)高速时钟频率及快速边沿的设计成为主流[3];

2)产品小型化及高性能必须面对在同一块板上由于混合信号设计技术(即数字、模拟及射频混合设计)所带来的分布效应;

3)设计难度的提高,导致传统的设计流程及设计方法很难胜任当前的技术。

基于板级设计的发展趋势,目前有许多厂商从事电子设计自动化(eDa)工具的开发工作,如Cadence,Synopsis,mentorGraphics等eDa工具供应商。eDa所涉及的领域非常广泛,包括网络、通信、计算机、航天航空等。产品则涉及系统板级设计、系统数字/中频模拟/数模混合/射频仿真设计、系统iC/aSiC/FpGa的设计/仿真/验证,软硬件协同设计等。任何一家eDa供应商均很难提供满足各类用户的不同设计需求的最强的设计流程。

2板级电路的硬件连接验证方法

2.1电路原理图设计流程

我们知道原理图设计是电路设计的基础,只有在设计好原理图的基础上才可以进行印刷电路板的设计和电路仿真等。电路原理图设计流程如图1所示。

原理图具体设计步骤如下[4]:

1)新建原理图文件。在进人SCH设计系统之前,首先要构思好原理图,即必须知道所设计的项目需要哪些电路来完成,然后用相应的设计输入工具来画出电路原理图。

图1电路原理图设计流程图

2)设置工作环境。根据实际电路的复杂程度来设置图纸的大小。在电路设计的整个过程中,图纸的大小都可以不断地调整,设置合适的图纸大小是完成原理图设计的第一步。

3)放置元件。从元件库中选取元件,布置到图纸的合适位置,并对元件的名称、封装进行定义和设定,根据元件之间的走线等联系对元件在工作平面上的位置进行调整和修改使得原理图美观而且易懂。

4)原理图的布线。根据实际电路的需要,利用SCH提供的各种工具、指令进行布线,将工作平面上的器件用具有电气意义的导线、符号连接起来,构成一幅完整的电路原理图。

5)建立网络表。完成上面的步骤以后,可以看到一张完整的电路原理图了,但是要完成电路板的设计,就需要生成一个网络表文件。网络表是电路板和电路原理图之间的重要纽带。

6)原理图的电气检查。当完成原理图布线后,需要设置项目选项来编译当前项目,利用工具提供的错误检查报告修改原理图。

7)编译和调整。如果原理图已通过电气规范检查,那么原理图的设计就完成了。这是对于一般电路设计而言,尤其是较大的项目,通常需要对电路的多次修改才能够通过电气规范检查。

8)存盘和报表输出:电路图输入工具一般会提供利用各种报表工具生成的报表(如网络表、元件清单等),同时可以对设计好的原理图和各种报表进行存盘和输出打印,为印刷板电路的设计做好准备。

2.2硬件连接验证方法的目的和验证范围

在2.1中描述的原理图设计流程中,电气规范检查是完成原理图设计的必要条件[5]。对于一个庞大复杂的系统板级设计来说,由于设计工具在硬件连接性方面的检查功能不完善,或者由于设计人员在设计中的忽视,硬件连接方面的一些错误在通过电气规范检查并报表输出后的板级设计中时有出现。在这里所说的硬件连接方面的错误主要是指:原理图中器件symbol中的芯片引脚名称与该芯片说明书中命名的引脚名称不同;没有连接的引脚;输入/输出脚的冲突;电路设计中是否按照每个芯片说明书中规定的供电电压为该芯片供电;电路设计中是否存在芯片的某一个引脚存在重复的上拉、下拉电阻或者同时存在一个上拉电阻和一个下拉电阻的矛盾情况。其中输入/输出脚的冲突包括两个方面:一是驱动芯片和接收芯片的连接引脚的信号方向是否存在同为输入或者同为输入的相悖情况,二是驱动芯片输出脚的输出高低电平和接收芯片输入脚的高低电平是否存在过驱动或者不足驱动的情况。

为了进一步分析进行硬件连接验证的必要性,以下按照连接性错误的类型逐一阐述:

1)电路设计中是否存在未连接的节点:进行节点连接验证通常是为了确认器件的引脚是否存在没有正确连接的情况,或者是否存在孤立节点即电路设计中是否存在某器件的一个节点没有连接到其他任何器件的情况。通常情况下,电路设计人员会对电路设计中一些故意悬空的芯片引脚标注上“nC”,这种情况则不属于未连接的节点。在分析中,我们认为未连接的节点既可以是器件的一个引脚未连接,也可以是完全没有连到其他器件;对于电容,电阻和电感这样的器件,我们也需要去确定它们的两个引脚在设计中是否都被使用。

2)电路设计中的是否存在芯片说明书中明确指出未连接时需要进行特殊处理的输入脚:进行输入脚测试的目的和进行节点测试的目的很相似。电路图中的浮空的输入脚必须被给予特别的关注,因为由于它们处于逻辑“1”和逻辑“0”的不确定性可能会给器件带来不稳定的工作状态,或者引入了电子噪声从而影响该器件的其他功能。

3)电路设计中的是否存在错接的电源脚或者地脚:进行电源和地脚的连接验证的目的是为了确保电路设计中的每一个器件的电源和地脚都接入到正确的电源网络上。此处的“正确”包含两个方面的含义,其一是指电源脚接到电源节点,且地脚接地;其二是指电源脚所接的电压值处在该芯片说明书要求的工作电压范围之内。此外,输入脚和输出脚是否存在重复的上拉或下拉电阻,以及是否存在冲突的上/下拉电阻这两个问题也必须予以关注。

4)电路设计中的是否存在相悖的引脚方向:

图2纠错流程图

我们进行此部分验证所遵循的评价标准如下:

a.所有接收器件的输入脚都至少被一个驱动器件的输出脚驱动;

b.电路设计中的任意一个特定的节点只允许连接一个输出脚;

c.输出脚不能直接和电源/地脚连接。

5)电路设计中的是否存在数字驱动脚和数字接收脚的DC特性不匹配:我们进行此部分验证是为了检查驱动脚和输出脚的高/低电平是否匹配,防止芯片存在过驱动或者不足驱动的情况出现。

6)电路设计中的是否存在命名不一致性的情况:我们进行此部分验证的目的是检查电路设计中引脚的功能和节点命名是否存在不一致性。不一致性通常会发生在FpGa和连接性器件上,因为这些器件的引脚功能在电路设计中没有明确提及。同时,差分信号的极性连接正确性也可以在此部分检查。

2.3硬件连接验证方法的实现

为了完成2.2中列举的板级设计的硬件连接验证,我们需要按照以下三个步骤:

1)首先比对原理图中所有器件的供电电压、引脚信号方向、数字脚的高低电平等一系列参数是否与对应的芯片说明书的参数一致,如图2所示:

2)其次检查原理图中所有芯片的连接,特别是没有使用的引脚的特殊处理、open-Drain的引脚、电源的去耦电容等是否满足其对应的芯片说明书中的特定要求。

3)最后检查原理图中所有存在连接关系的芯片中互相连接的引脚的输入输出方向是否正确,即不存在两个输入或者输出脚对接的情况;检查设计中存在互相连接的驱动与被驱动关系的芯片之间对接的数字脚的高低电平是否匹配,即不存在过驱动或者不足驱动的情况。

为完成上面提到的硬件连接验证的三个步骤,我们需要精确地比对电路原理图中的器件参数和芯片说明书中的对应参数的数值或者范围是否一致。在日趋复杂的板级设计中要准确无误地完成参数的比对工作,单单凭借设计师的经验或者肉眼观察是很难做到的,这就要求我们必须借助有效的辅助工具进行参数处理,排除电路原理图和芯片说明书参数已经匹配的连接,缩小检查的范围,最终凭借设计经验和芯片说明书的规范来锁定设计中确实存在的硬件连接错误,整个流程如图2所示。

3结论

本文介绍了一种新的基于perl语言[4]的数据库处理工具进行系统板级设计中的硬件连接性验证的方法,运用此方法,我们可以在系统设计的早期阶段发现系统内潜在的芯片功能性或者参数匹配方面的错误,将硬件设计的错误降到最低,便于大大提升设计一次成功率,降低设计成本,缩短产品进入市场的周期。

参考文献:

[1]王卫平.电子工艺基础:第2版.2003年09月.北京:电子工业出版社.

[2]集成系统pCB板设计的新技术.[2003-11-25].上海泰齐科技网.

[3]周润景,袁伟亭编著.Cadence高速电路板设计与仿真(第2版).2007年09月.北京:电子工业出版社.

[4]李刚,王艳林,孙江宏等编著.protelDXp电路设计标准教程.2005年06月.北京:清华大学出版社.

硬件电路设计规范篇2

关键词:计算机机房;建设;管理

中图分类号:tp393文献标识码:a文章编号:1009-3044(2011)29-7121-01

高校计算机机房通常划分为公共机房和专业机房,公共机房承担着全校计算机相关的基础课程教学,专业机房主要承担专业课程对应的软件课程等教学。由于两种机房的功能和使用频率等不同,为保证日常教学工作的正常进行,探索公共机房和专业机房合理的建设规划与规范的管理就显的尤为重要。

1专业计算机机房的建设规划

专业计算机机房的建设规划从最初的经费预算开始就关系到了机房今后的管理维护工作复杂程度。机房的建设不能只追求数量,建设规划人员应该从专业角度出发,以实用为主、够用为度、应用为目的标准,把好机房建设规划的质量关,并根据自己专业的实际情况,定好计算机机房的布局和相关配置。

1.1专业机房的布局

机房的整体布局,关系到机房的日常的使用条件,按照最新的部级标准GB50174―93《电子计算机机房设计规范》,机房一方面要满足计算机设备和系统安全可靠地正常运行,延长设备的使用寿命,提供一个符合国家各项有关标准及规范的优秀的科学的技术场地;另一方面,机房建设应给机房工作人员和使用者提供一个舒适的工作环境,例如对亮度、空气质量、噪声的要求。

由于机房设备基本上都是精密仪器,由大规模的集成电路组成,其对工作的环境要求较高,环境的温度、湿度、空气洁净度、电磁干扰、静电、外部供电电源质量等都会对机房设备的工作产生影响,所以合理的布局需要考虑到计算机的布局和其他设备的布局

1.2计算机的布局

一般专业机房计算机的组成由教师用机、服务器和学生用机,然后通过交换机互联。服务器和交换机的安放位置对环境要求较高,服务器安放于机房通风较好处,交换机安放在服务器附近并也能方便以后的网络检测和维修。整体布局应当方便人员的进出和突发事件的迅速安全撤离。此外一般建议能预留一定的空间以便日后的设备添加和跟换。

1.3其他设备的布局

除去计算机设备,一般常见的机房包括供电电路、空调系统等,专业机房还包括相关专业的专业设备。供电电路布局需要考虑到电路的走向、电压电流大小、电磁干扰等。空调系统的布局需要考虑机房的通风条件、温度的变化等。

2专业计算机机房的配置

2.1计算机的配置

现根据专业的要求配置需要的计算机数量和计算机的配置,一般根据专业软件的需要确定处理的核心数目、速度、内存、硬盘大小等,保证够用的基础上留有一定的余地,以便日后的专业发展、软硬件的升级,保证能长期使用。

2.2专业相关配置

根据专业来配置相应计算机以外的设备,一般有网络设备、多媒体教学演示设备、专业设备等,多媒体的安装位置必须保证人员的正常观看使用。配备相关的稳压电源和保护电路以保证计算机设备的正常稳定运行,并能应对短路等突发状况。

2.3专业机房的管理

专业机房的建设规划是否合理决定了机房管理的难易程度,机房管理既关系专业教学的质量,也反应了一个专业的建设和管理的水平。

机房的管理必须建立完善详细的规章制度,并严格地执行。包括计算机机房管理制度、仪器设备管理条例、计算机网络及系统安全管理制度、计算机机房管理人员岗位职责等。其次还需要科学的监控管理体系,才能使机房的管理高度智能化、集中化,

2.3.1安全管理

首先要保证机房的安全,机房要注意防火防盗防水防意外,配备防火灭火设施,安装监控防盗报警设备,下班时要关好门、窗、总电源等以保证国家财产的安全。

2.3.2人员的管理

人员的管理包括管理人员和使用者,管理人员应当有过硬的计算机软硬件技术,在计算机和网络出现问题时候应当能迅速解决,保证机房日常运行的工作环境,按照规章制度履行自己的职责,要加强道德修养.提高职业道德.不断学习计算机新知识和计算机相关的边缘知识.注重知识和经验的积累,使自己成为一名有高尚职业道德、高度责任心、能精通计算机知识、动手操作能力很强的机房管理员。

使用者应当根据规定正常合理的使用,保持使用过程卫生清洁,使用过程的合理规范,用电安全,严禁私自修改计算机相关软硬件设置,对于偷盗破坏等行为即使进行制止并相应处罚。

2.3.3软硬件管理

计算机硬件对环境要求较高,为保证设备的软硬件的正常运行,就要保证机房的日常清洁卫生,以及使用过程的规范,定期对机器内部进行清洁工作,保证计算机的良好工作状态,定期检查设备的运行状态。对出现问题的硬件可以采取替换法找出并进行维修更换。计算机的软件根据本专业要求进行正常的安装,建议安装硬件级别的保护还原卡,并安装防病毒软件,保证计算机系统的正常运行,防止病毒的传播。同时可以安装相应的课程记录以及相关设备使用情况的记录软件,使得机房管理进一步规范化、简便化、安全化,减轻机房管理人员的劳动强度,方便使用人员的使用,最大限度地提高计算机设备的利用率,进步推动机房的全面建设和发展。

参考文献:

[1]刘文宇.高校计算机机房管理与维护探讨[J].科技创新导报,2010(32).

硬件电路设计规范篇3

关键词:铁路运输会计电算化风险控制

随着会计电算化的高效发展,其对财务工作的影响程度逐渐提升。会计工作形式在不断改进,电算化必然会成为一种普遍的趋势。但是,由于会计电算化涉及到的内容相对比较复杂,风险率也就不断提升。由于风险是客观存在的,虽然不能够从根本上避免,但是如果工作方式科学就可以从某种程度上降低风险。

一、会计电算化过程中风险的种类

(一)决策程序

在会计电算化进行的过程中,如果实施的项目符合实施的标准,会计电算化的开展就具有一定的意义。在会计电算化的过程中,不可避免地需要进行决策的事项,工作人员需要对决策程序的科学性进行控制。

(二)硬件设备

在会计电算化的过程中,必然会应用到计算机设备,硬件设备对于电算化的进程会产生较大的影响。因此,在实际的工作中,工作人员需要对会计电算化过程中的各种硬件设备加强控制,保证电算化的正常进行。

(三)软件系统

软件系统在应用的过程中很有可能对会计电算化质量产生影响,主要表现为软件应用人员在进行软件的研制和开发的过程中,可能会对于软件系统缺乏周全的考虑,会造成会计数据的不准确或者是不安全。

(四)操作人员因素

在会计电算化的过程中可以看出,电算主管,软件操作以及审查人员的操作方式以及专业素质都是影响电算工作的重要因素。由于操作人员本身的水平具有一定的差异。往往会直接影响到会计数据的安全性,同时直接影响到电算化工作的正常进行。

(五)制度建设

从会计电算化的工作中可以看出,制度建设是相对比较重要的工作内容,在会计电算化的过程中,电算化工作的正常开展需要以先进的技术类型作为支撑。如果制度设置的不合理,不然会造成会计工作的杂乱无章。降低会计电算化的有序性。

二、会计电算化过程中的风险控制

会计电算化风险控制的主要目的就是要保证会计工作的高效性,其中包括会计资料、数据的真实性和准确性。从会计电算化工作中可以看出,提升数据信息的安全性和可靠性需要从以下几个方面来进行:

(一)加强决策程序的规范性

会计电算化技术的应用一直以来都受到人们的普遍关注。从铁路运输工作的会计工作中可以看出,只有领导人员对会计电算化工作加强重视,才有可能提升会计工作的高效性和规范性。在具体的工作中,财务部门应该做好各类型项目的规划工作,做好计划可行性的研究,同时建立民主,科学的决策机制。在会计电算化的过程中,应该计量避免一人说了算的问题,这是完善会计电算化会计工作的主要途径,进而有效的降低工作的风险。

(二)做好会计电算化的硬件管理工作

会计电算化工作对计算机硬件的要求较高,其质量的好坏直接影响到会计电算化的程度。因此,在计算机硬件管理工作中,工作人员需要有计划地进行。对计算机的相关硬件设施以及设备的种类和规格等进行明确,将硬件管理工作细致化。现如今会计电算化硬件设备都进行了加密设置,在正常的情况下,操作人员无法对网络上的数据进行直接铲除或者是修改,这样就从根本上保证了计算机数据的安全性。在验收的过程中,工作人员应该选择专业的工程师来对其进行验收,这样才能够保证会计电算化的科学性。

(三)做好软件开发工作

计算机软件主要分为系统软件和应用软件两种类型,会计电算化工作主要是以系统软件为主。其外部购置,软件的成熟程度以及可靠性等都是影响数据信息安全性的重点。另外。在进行电算化应用软件购置的和开发的过程中,需要经过财政部门的高度审核,这样才能够保证操作的规范性。

对于铁路运输的会计电算化来说,其进行软件的开发和研制,需要经过专业部门进行系统和深入地调查和研究,这样才能够保证软件开发的规范性。在电算化开发和管理的过程中,相关的工作人员应该加强评审和鉴定,这样才能够不断提升会计电算化的高效性和准确性。另外,还可以避免由于人为因素造成的误差问题,直接降低风险。

(四)对人员素质进行改进

会计电算化人员的素质高低与否是影响会计工作能够正常进行的关键和基础,其中包括工作人员的职业素养和业务水平。其中职业素养主要是工作人员对待工作的态度,以及学习理论知识的人情。在实际的会计电算化工作中,工作人员应该对责任心,道德素质等方面加强控制,降低会计电算化工作中的错误性。工作人员业务水平的提升主要依靠具体的培训工作和实践活动。计算机设备操作、应用软件以及其他方面的内容都是影响工作人员操作资格的主要因素。

(五)建立健全会计电算化工作的各项制度

建立和完善会计电算化工作的前提和基础就是工作人员充分了解会计电算化工作的内涵和工作形式。首先,工作人员要注重应用软件的开发,在这一过程中不断提升软件的升级,进而高效的解决会计电算化工作中出现的各种问题。另外,工作人员还需要对计算机运行过程中出现的病毒问题进行防控。计算机病毒直接影响到会计电算化的高效进行,因此,工作人员在应用软盘时必须要通过病毒检测。如果在实际的工作中所遇到的病毒类型具有一定的特殊性,而且破坏力度也相对较大,就应该及时地上报给有关部门。

三、结束语

总而言之,社会发展中的信息化得到了普及,会计电算化工作越来越受到专业人员的关注。工作中的风险问题不断出现,并且具有一定的突发性和危害性。只有加强防范才能够保证会计电算化的高效实施。

参考文献:

[1]刘正平.浅谈会计电算化在企业信息管理中的作用[J].职业.2012(21)

[2]邓泓.现代企业的会计电算化管理[J].中国新技术新产品.2011(14)

[3]刘艳.铁路会计电算化过程中的风险控制[J].中国新技术新产品.2010(20)

硬件电路设计规范篇4

[关键词]电力机车模拟驾驶系统仿真

中图分类号:U46文献标识码:a文章编号:1009-914X(2016)10-0391-01

一、研究背景

随着中国铁路的大跨步发展,电力机车的更新换代日益迅速。几年前还风行祖国大江南北的韶山系列电力机车,现已被无情地淘汰出铁路运输的主流车型范畴,被具有更先进的技术的和谐系列电力机车取代。这种迅猛的铁路现场技术更新给传统的机车乘务员培训工作带来了严峻的挑战,特别是对各职业院校的铁道机车专业的建设和发展更是打击沉重。因为铁路专业设备的特殊性,实训室的建设需要学校给予大量的资金支持和政策倾斜。但往往是一种车型的相关设备刚刚配置齐全,铁路现场却已经更新换代更新型的机车,让所有的工作努力都白白浪费了。这种投入多,产出少的状况让各职业院校对铁道机车专业建设心有余而力不足。

计算机技术的进步使模拟仿真技术发展迅速,电气控制技术的发展令屏幕上开车更加逼真,能更为有效地训练机车乘务员在列车运行过程的各个环节正确及时有效地操作机车。而且,由于没有电器柜实物,所有电器设备采用数学模型仿真实现,改变传统电路中靠采集获得节点状态的方式,系统命令和设备的反应都靠计算机进行模拟,完全不需要造价高昂的设备支持,大大节省了实训室建设的资金投入。同时,系统的维护也更为简单方便。在铁道机车专业的课程实施方面与传统的真实设备的区别不大,投入产出比更加合理,是更为经济,更有效率的培训方式。

二、设计思路

计算机硬件部分由数据采集及驱动电路,模拟气压表组成。软件部分由列车牵引计算程序,电路仿真程序。首先,各个单片机将采集到的数据通过串行接口传入工控机。工控机通过交换机将数据传至教员机。教员机把列车编组数据与传入的设备状态数据赋值到仿真程序中得到电牵引力,将之代入牵引计算中,得到机车当前的运行状态,将速度值传输到图形工作站。图形工作站得到速度后,根据计算获得当前的公里标,调用该公里标下的三维建模数据,同时将图形渲染输出,控制机车信号机显示相应的色灯信号。通过这种方式,将数据采集、控制、计算、图像实时渲染等数字化处理技术,组成软硬一体的机车模拟驾驶系统。

三、软硬件环境

针对以上设计思路,在选配系统硬件时,我们更注重元器件的通用性和标准性,采用主流电气控制设备。包括:司机操纵台体、扳键开关组、司机控制器、CCB-ii制动控制器、CCB-ii屏、tCmS显示屏、LKJ2000监控屏、CiR无线列调、八显示机车信号、开关、按钮、指示灯、仪表、投影机、金属弧形投影幕、专业投影仓、专业级仿真图形融合机、CGi前向视景图形工作站、电路图仿真计算机、列车运行仿真计算机、5.1声道功放扬声器组、多媒体故障处理触摸一体机、i/o采控计算机、学员桌椅、学员计算机、液晶显示器、桌面司控器、耳机、教员桌椅、教员计算机、通用监控显示器、RS485串口通讯卡、语音通讯设备、工业级交换机、机柜、电源设备线缆及配件、专用工具等。

软件采用与专业设计铁路机车模拟驾驶装置的公司合作开发。具有如下功能:完成真实模拟机车在各种环境下的运行状况、机车动力性能、真实操控感、视听环境、牵引制动特性;能培训受训司机进行机车正常驾驶的操作方法、操作规程与操作步骤;能够设置模拟常见的机车故障、突发事件,培训受训司机按实际处理方法、处理规程进行排除处理;具有独立教员系统能够进行综合管理、组织教学和考核。

系统设计、研发、制造、集成等过程应采用的规范和适用标准:UiC国际铁路联盟标准;ieC国际电工委员会标准;iSo国际标准组织标准;GB中华人民共和国国家标准;tB中华人民共和国铁道部标准。

系统特性:

(1)稳定性:系统采用成熟技术及相关工艺,主要设备应采用工业级产品。系统主要设备与部件的平均无故障时间大于20,000小时;系统整体平均无故障时间大于10,000小时;系统主机两次故障平均时间大于3000小时。

(2)易用性

所有操作系统均采用中文windowsXp及以上版本,所有交互系统提供中文图形界面,符合常规窗口式系统的操作模式。系统具有合理的使用成本,有利于长期、有效地利用该系统进行人员培训与考核。

(3)可维护性

系统设备具有良好的可维护性,方便维护人员完成日常维护。

(4)可扩展性

系统采用模块化设计,预留升级扩展接口利于后期升级扩展。

四、系统功能

系统可以进行事故、突发事件的模拟,可在课程中预先设置突发事件或由教员根据需要设置突发事件,视景系统能即时作出响应。按照用户提出事件现象、处理过程进行仿真制作,以达到提高司机应急处置能力的目的。系统构建全三维虚拟化实训环境,可以进行列车检查、非正常情况处理相关的操作,可以放置响墩、火炬、短路铜线、铁鞋、复轨器;可使用检点锤、钳子、手持对讲机、绿旗、黄旗、红旗等工具;可以手动清除线路上的障碍物。三维列车上的车钩、制动软管、折角塞门和截断塞门均可以操作,可进行连接、断开、拆卸、更换等作业,实现事故车辆与列车分离。可以在限定时间内按照作业规范进行非正常下行车组织演练,包含标准化的车机联控、设置防护、操作监控装置等非正常处置步骤,系统能够实时监控、记录(包含监控装置的操作)演练过程的每一步操作,并对比标准答案自动评定成绩。

模拟驾驶器具备HXD3B型大功率机车的机车性能及运行环境仿真功能,能够真实地模拟HXD3B大功率机车在各种运行环境与工况下的运行状况、操纵特性、牵引/制动特性以及其它特性,可满足受训人员进行列车操纵模拟,实现机车制动机试验、起车、运行调速、进站停车以及运行中非正常情况处置等操纵技能的演练培训。

模拟驾驶器能够完整的模拟HXD3B大功率机车的控制逻辑,提供可视化的电路图界面,实时显示受训人员模拟控制条件下的控制电路状态变化。操纵台上的所有操作、显示设备、开关、声音和发光报警系统按实际情况进行控制和响应,显示系统与真实机车相应设备具有相同的界面、按钮及功能。

机车的牵引、制动系统按实际情况控制和响应,故障报警显示、灯光和声音报警系统配备与实际相一致,并按照实际情况进行控制和响应,可实现基于硬件的故障模拟,也可实现基于软件及软、硬件结合的故障与突发事件的模拟,能够模拟运行线路与机车运行过程中相关的各类故障、突发事件。包括车辆内部电器、制动机、电机等各类故障,行人掉道、天气突变、障碍物等突发事件。教员可通过教员控制台同时设置单个故障和多个故障。系统采用硬件实做与软件模拟相结合的方式进行故障排除的模拟。可采用硬件实物操作排除的机车故障将使用硬件实做的方式实现,无法采用硬件实物操作排除的机车故障以三维动画多媒体软件的方式模拟实现。

硬件电路设计规范篇5

关键词:eDa;自顶向下;VHDL;交通信号灯

中图分类号:tp311文献标识码:a文章编号:1009-3044(2008)15-21050-04

implementationoftrafficSignalLightsBasedonVHDL

LUoHai-tao

(Schoolofinformatics,GuangdongUniversityofForeignStudies,Guangzhou510420,China)

abstract:eDaintegratesthelatesttechnologiesofmodernelectronicsandComputerScience,itsdesignadoptstopdownmethodology,andhardwaredescriptionlanguageisusedtodesignelectroniccircuitineDa;VHDLbecomesoneofthemostpopularhardwaredescriptionlanguagebecauseofitsstrongabilityofmodelingandsyntheses.DesignedtrafficsignallightsbasedonVHDL.

Keywords:eDa(electronicsDesignautomation);topdown;VHDL;trafficSignalLights

1引言

硬件描述语言(HDL,HardwareDescriptionLanguage)至今约有40余年的历史,现在已成功地应用于aSiC自动设计的模拟验证和综合优化等方面。其特点是借鉴高级语言的功能特性对电路的行为与结构进行高度抽象化、规范化的形式描述,并对设计进行不同层次,不同领域的模拟验证与综合优化等处理,使设计过程达到高度自由化。

VHDL语言全称是“超高速集成电路硬件描述语言”(VeryHighSpeedintegratedCircuitHardwareDescriptionLanguage),1982年被研发出来以。1987年底,VHDL被ieee和美国国防部确认为标准硬件描述语言,并公布了VHDL的ieee-1076版(87版)。1993年ieee对VHDL进行修订,从更高的抽象层次和系统描述能力上扩展了VHDL的内容,公布了新的VHDL版本,即ieee标准的1076-1993版本(93版)。VHDL语言描述能力强,覆盖了逻辑设计的诸多领域和层次,大大简化了硬件设计任务,提高设计的可靠性。基于VHDL语言的设计方法得到了广泛的应用,VHDL语言已成为硬件描述语言的工业标准。

2eDa技术与VHDL语言

eDa技术是90年代迅速发展起来的,是现代电子设计的最新技术潮流,是综合现代电子技术和计算机技术的最新研究成果,是从事电子线路设计与分析的一门技术,包括电子线路的设计、计算机模拟仿真和电路分析、印制电路板的自动化设计三个方面的内容。

进入21世纪后,eDa技术得到了更大的发展,突出表现在以下几个方面:(1)使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;(2)在仿真和设计两方面支持标准硬件描述语言的功能强大的eDa软件不断推出;(3)电子技术全方位纳入eDa领域;(4)eDa使得电子领域各学科的界限更加模糊,更加互为包容。传统的电子产品的设计必须经过设计方案的提出、电原理图设计、初步验证、样机制作、小批量试制、大批量生产等几个过程。对于电子产品设计工程师而言,必须保证理论设计、初步验证两个过程完全正确,才能按电路原理图绘制成电路板图,并进行进一步的生产。

传统的电子产品的设计通常采用自底向上(BottomUp)电路设计方法,即首先根据系统对硬件的要求,写出详细的技术规格书,画出系统的控制流程图;其次,根据技术规格书和控制流程图,对系统功能进行细化,合理划分功能模块,画出系统的功能框图;然后,对各个功能模块进行细化和电路设计;最后,将各个功能模块的硬件电路连接起来再进行系统地调试,最后完成整个系统的硬件设计。手工设计方法的缺点是:(1)复杂电路的设计、调试十分困难;(2)如果某一过程存在错误,查找和修改十分不便;(3)设计过程中产生大量文档,不易管理;(4)对于集成电路设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;(5)只有在设计出样机或生产出芯片后才能进行实测。

基于eDa技术的设计则采用自顶向下的设计方法。

(1)采用可以完全独立于目标器件芯片物理结构的硬件描述语言,在系统的基本功能或行为级上对设计的产品进行描述和定义,结合多层次的仿真技术,在确保设计的可行性与正确性的前提下,完成功能确认;

(2)利用eDa工具的逻辑综合功能,把功能描述转换成某一具体目标芯片的网表文件,并将它输出到该器件厂商的布局布线适配器,进行逻辑映射及布局布线;

(3)利用产生的仿真文件进行功能和时序验证,以确保实际系统的性能。

自顶向下方法的优点是:顶层功能描述完全独立于目标器件的结构,在设计的最初阶段,设计人员可不受芯片结构的约束,集中精力对产品进行最适应市场需求的设计,从而避免了传统设计方法中的再设计风险,缩短了产品的上市周期;设计成果的再利用得到保证;由于采用的是结构化开发方法,因此确认主系统基本结构后,可以实现多人多任务的并行工作方式,提高系统的设计规模和效率;在选择目标器件的类型、规模、硬件结构等方面具有更大的自由度。

eDa技术通常采用硬件描述语言进行电子电路设计,eDa技术主要特点是:(1)采用硬件描述语言作为设计输入;(2)库(Library)的引入;(3)设计文档的管理;(4)强大的系统建模、电路仿真功能;(5)具有自主知识产权;(6)开发技术的标准化、规范化以及ip核的可利用性;(7)适用于高效率大规模系统设计的自顶向下设计方案;(8)全方位地利用计算机自动设计、仿真和测试技术;(9)对设计者的硬件知识和硬件经验要求低;(10)高速性能好;(11)纯硬件系统的高可靠性。

目前常用的用于eDa技术的硬件描述语言有:aBeL-HDL;VerilogHDL:ieee1364-1995,2001;VHDL(VeryHighSpeedintegratedCircuitHardwareDescriptionLanguage):ieee1076-1993。其中VHDL语言以其强大的行为建模、结构建模、寄存器传输级描述以及逻辑综合功能成为eDa技术中应用最广泛的硬件描述语言之一。

3VHDL建模方法

VHDL建模方法一般有行为建模、结构建模、寄存器传输级描述等方式。VHDL具有强大的行为描述能力,成为系统设计领域最佳的硬件描述语言。行为描述避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统。VHDL丰富的仿真功能和库函数可以在系统的设计早期阶段查验系统功能的可行性,并对设计进行仿真模拟。分解大规模设计和已有设计的再利用,这是由VHDL的行为描述能力和程序结构决定的。用VHDL完成设计后,可以用多种eDa工具进行逻辑综合和优化,VHDL对设计的描述具有相对独立性。行为建模是一种抽象描述,不是对具体电路结构的描述,是对设计实体整体功能的描述,是高层次的概括。对系统进行行为描述目的:在系统设计的初始阶段通过对系统行为描述的仿真发现设计中存在的问题;行为描述阶段不考虑用具体硬件去实现实际的操作和算法,主要检验系统的结构以及工作过程能否达到系统设计的要求。

行为建模主要使用函数、过程和进程,采用行为建模的方法设计的VHDL语言程序一般不能进行综合,必须先使用eDa工具在行为级上进行仿真,确认无误后再将程序改为结构建模或者数据流建模的VHDL语言程序,然后再进行综合。行为建模意义在于对复杂的、多层次的系统来说,行为建模使设计者在早期发现错误,并且确定设计是否合理。

结构建模是指在层次化设计中,高层次(顶层)模块调用低层次模块、基本逻辑门或者基本逻辑单元来组成复杂数字电路或系统,例如一位全加器可以由一位半加器和或门构成,在进行结构建模时,可以先建立半加器和或门模块,包装入库,再调用这些模块建立全加器。这里,全加器是顶层模块,半加器和或门是底层模块,所以,结构化描述体现了层次化设计思想。

寄存器传输级描述RtL(RegistertransferLevel),其设计实体的描述按照从信号到信号的数据流形式,或者叫“数据流描述方式”。根据RtL描述,可以导出系统的逻辑表达式并进行逻辑综合,是eD设计中经常采用的描述方法。行为方式描述的系统结构程序抽象度高,很难直接映射到具体的硬件,必须先转换为RtL方式描述的VHDL语言程序。

逻辑综合是针对给定的电路功能和实现此电路的约束条件,如速度、功耗、成本及电路类型等,通过计算机进行优化处理,获得满足要求的电路设计方案。逻辑综合的依据是逻辑设计的描述和各种约束条件;逻辑综合的结果是一个硬件电路的实现方案,该方案必须同时满足预期的功能和约束条件。满足要求的方案可能有多个,但逻辑综合器将产生一个最优或接近最优的结果,该结果和逻辑综合器的工作性能有关。

4系统设计实现

本系统在altera公司的max+plusii10.0BaSeLine软件下用VHDL语言设计实现,操作系统环境为windowsXpversion5.1.2600。系统采用自顶向下的设计方法,首先把系统按功能分解成4个模块:controller、display、fenwei以及frequency。分别设计4个模块,然后再调用它们构成整个系统。系统顶层采用图形方法设计,如图1所示。

4个底层模块则采用VHDL语言设计,其中Controller的接口代码为:

entityControlleris

port

(Clock:inStd_Logic;

Reset:inStd_Logic;

Hold:inStd_Logic;

Flash:outStd_Logic;

numa,numB:outintegerRange0to25;

Reda,Greena,Yellowa:outStd_Logic;

RedB,GreenB,YellowB:outStd_Logic

);

Frequency模块的接口代码为:

entityFrequencyis

port

(Clk10Hz:inStd_Logic;

Clk1Hz:outStd_Logic

);

end;

Display模块的接口代码为:

entityDisplayis

port(Clock:inStd_Logic;

Flash:inStd_Logic;

Qin:inStd_Logic_Vector(3Downto0);

Display:outStd_Logic_Vector(0to6));

end;

Fenwei模块的接口代码为:

entityFenweiis

port

(Clock:inStd_Logic;

numin:inintegerRange0to25;

numa,numB:outintegerRange0to9

);

end;

编译后运行结果如图2所示。

5结束语

VHDL是一种功能非常强大的硬件描述语言,主要用于描述数子系统的结构、行为、功能和接口。VHDL借鉴了高级语言的特点,可以将一项工程设计,或称设计实体,(可以是一个元件、一个电路模块或一个系统)分成外部(可视部分即端口)和内部(不可见部分),即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,只要其内部开发完成,其他的设计就可以直接调用这个实体。

参考文献:

[1]胡振华-VHDL与FpGa设计[m].北京:中国铁道出版社,2003.

[2]求是科技.VHDL应用开发与工程实践[m].北京:人民邮电出版社,2005.

硬件电路设计规范篇6

本文主要研究介绍了一种基于eDa技术的频率计系统,系统通过采用硬件语言VHDL自顶向下的设计方法来设计实现频率显示功能,其中频率的显示控制电路和频率的测量电路是设计的核心内容,系统的辅助电路多通过硬件电路来实现完成。基于eDa技术的频率计系统主要是由基准频率信号电路、显示控制和频率测量电路、显示输出电路、电源电路构成,采用ep2C8Q208C8型号的FpGa芯片作为系统的核心电路。

【关键词】

eDa技术;频率计;VHDL;FpGa

1基于eDa技术频率计系统的设计环境

eDa是electronicDesignautomation的缩写,译为电子设计自动化。eDa技术设计的载体为大规模可编程逻辑器件,系统的逻辑描述是通过硬件语言来完成的,通过实验开发系统和相关开发软件来自动完成电子系统地软件和硬件的设计。eDa技术是通过采用高级语言描述系统功能的,它具有较强的综合能力和系统级仿真能力。eDa具有较高的自动化能力、较为完善的功能、较为友好的界面,在数据处理方面具有较好的交互性、开放性和兼容性,一般eDa技术具有以下显著特征:(1)eDa设计的系统可以以波形、原理图、VHDL等多种形式进行数据输入,系统的很多硬件电路设计是通过软件方式的设计与测试完成的。(2)eDa具有较高的自动化程度。eDa技术对原理图或VHDL等输入文件的输入内容进行自动逻辑编译、综合、化简、优化、仿真、布线、布局、适配,并且可以自动下载编程并生成目标系统。在计算机上自动进行电路的功能仿真、性能的分析、优化的设计以及结果的检测等环节运行,进而自动完成电子产品的设计。(3)eDa技术具有较高的集成能力,可集成于较小的芯片上。(4)利用eDa技术开发的系统只需要较短的周期,而且具有较低设计成本、较高的设计灵活性。(5)设计系统时可以进行现场编程,并且可以在线升级系统。

eDa技术的硬件描述语言主要有VHDL语言,VHDL语言具有较强的多层次仿真模拟能力和行为描述能力,系统程序的结构较为规范,而且系统设计的效率较高。VHDL采用的是自顶向下的设计思想,系统的顶层属于结构设计,采用VHDL描述电路的行为,进行仿真、纠错,在系统级进行验证,然后通过逻辑综合优化工具来生成具体的门级逻辑电路网表,并将其下载到CpLD器件中。频率计是将CpLD器件和VHD语言二者相结合设计而成的,采用eDa技术设计频率计具有较小的芯片体积和较为灵活的设计方法。

2eDa技术系统的设计流程

采用eDa技术设计系统时,系统的一般设计思路如下所示:设计系统功能、进行软件模拟、编程下载。采用eDa技术设计系统时,系统的具体设计步骤如下所示:编译软件、化简逻辑、将逻辑综合处理、优化仿真、对系统设计进行布线布局、适配逻辑、影射逻辑、程序下载,最后是生成目标系统,这一开发研发过程是在相关软件开发平台和计算机上自动处理完成,eDa技术的设计流程如图1所示:

3基于eDa技术频率计系统的工作原理

在信号传输过程中,频率信号具有较强的抗干扰能力,而且频率信号便于传输,与其他类型的信号相比频率信号的测量精度较高。频率指的是在单位时间内周期信号所重复的次数,,其中F指的是频率,在t秒的时间间隔内信号的重复次数为n次。由以上公式可以得出,只有解决时间标准和计数问题才能得到周期信号的频率,所以说时基电路和计数电路是计数器的重要组成部分,此外频率计还应该包括显示控制电路、电源等部分。在设计频率计时,应该采用一个具有较高稳定性的频率源作为基准时钟,通过对比频率源的频率来测量其他信号的频率。频率及设计原理如图2所示:

4基于eDa技术频率计系统的分析与设计

通过采用硬件语言VHDL自顶向下的设计方法来设计实现频率计,其中频率的显示控制电路和频率的测量电路是设计的核心内容,系统的辅助电路多通过使用硬件电路来实现完成。频率计采用ep2C8Q208C8型号的FpGa芯片作为系统的核心电路。基于eDa技术的频率计系统主要是由基准频率信号电路、显示控制和频率测量电路、显示输出电路、电源电路构成的,对各部分电路作了如下介绍。

4.1基准频率信号电路

在频率的测量操作中,需要系统提供时钟信号,此时钟信号是由基准频率信号电路提供的,该电路是由相应的硬件电路和晶振管所组成的系统,频率计的内部计数器输入系统的频率信号是由时钟脉冲信号提供的,在信号发生器输入工作时还可以为硬件电路提供时钟频率信号。基准频率信号电路主要由振荡器、分频电路两部分构成。

4.2显示控制和频率测量电路

显示控制和频率测量电路包括显示控制和频率测量两部分,频率测量电路采用的是多周期同步测量方法,采用这种方法可以提供高被测信号的准确率,闸门的开启时间和系统频率信号的准确度与电路工作的最终结果密切相关,并且电路工作的最终结果与系统所要测量的信号频率没有关联,这种测量模式大大提高了频率测量的准确性。基准频率信号电路可以为测频电路提供基准时钟(频率)信号。闸门信号产生电路通过编程可以生成预置闸门信号,还可以通过改变相应的程序来控制闸门信号的打开时间长度。显示控制电路主要负责被测频率信号值的输出显示。通过运算器的相关运算得到系统所需测量频率信号的频率值,得出的结果是以二进制形式表示的,在显示数据时要进行二进制转码,将二进制数据转换为十进制数值,并将其显示在数码管上,这一转换过程是通过代码转换器完成的。显示控制电路的输出部分是由七段数码管构成的,在将数据显示到七段数码管之前还要对数据代码进行译码和锁存操作。

4.3显示输出电路

电路的显示电路是由8个共阴极的七段数码管来显示输出频率的具体数值的,频率的显示范围是0至99999999HZ。可编程逻辑器件本身具有锁存和译码功能,将显示电路的8个共阴极的七段数码管连接在可编程逻辑器件的相应引脚上,以此来显示输出相应的频率值。系统显示电路的结构如图所示:

4.4电源电路

系统为频率计提供了220V的交流电源,该电源电路保障了各电路的正常运行,为整体数字电路提供了必须的系统基准电压。各部分电路是在直流电压环境下正常运行的,将电源电压输入的交流电压进行整流处理,将整流后的电压通过稳压器件为各部分电路提供所需的直流电压。系统电源电路采用的是ep2C8Q208C8型号的可编程逻辑元器件。

【参考文献】

[1]范燕.基于eDa技术的数字频率计设计方法[J].常州信息职业技术学院学报,2012,03:19-22.

硬件电路设计规范篇7

关键词:变电站,选址,平面布置,建筑设计

1前期阶段

1.1选址

选址工作一开始应确定负荷中心位置。需要对每个候选站址进行综合分析,考虑的因素主要有以下几个方面:

(1)站址所在地是否违反城建的相关规划。需特别注意的是,该站址是否被划入基本农田保护区,除通过国土部门对土地利用总体规划进行调整外,不得占用基本农田。还需向规划部门收集资料,了解站址的规划情况,避免与城建规划相冲突。如需要避让公路、铁路等。

(2)土地征用、交通运输、水文地质等是否可行。为了建设资源节约型、环境友好型电网,变电站选址应注意节约用地,尽量利用荒地、劣地、不占或少占耕地和经济效益高的土地,同时应注意避开不良地质构造、矿产等,例如站址应距离地震断裂带1km以上。由于站址经常选择在山坡及较偏远的位置,需考虑进站道路的宽度、坡度及转弯半径是否满足主变等大件运输的要求。

二站址选定后的总平面布置设计。根据规程要求,应注意以下几点:

1)平面布置前的总体规划:变电站的总体规划应与当地区域总体规划和城镇规划相协调,宜充分利用就近的生活、文教、卫生、交通、消防、给排水及防排洪等公用设施,同时,还应根据工艺要求、施工和生活需要,结合所址自然条件按最终规模统筹规划,近远结合。变电所的总体规划应根据以上原则对所区、生活区、水源地、给排水设施、防排洪设施、进所道路、进出线走廊、终端塔位、出线杆等进行合理布局,统筹安排。站内电气设备、建(构)筑物布置宜紧凑合理,以利分期建设和节约用地。主要建(构)筑物的长轴宜平行自然等高线布置。当地形高差较大时,可采用台阶或错层布置。山区变电所当主要的生产建(构)筑物,设备架构靠近边坡布置时,应注意边坡的稳定及坡面处理;城中变电所与站外相邻建筑物之间应有消防通道。

2)主要建(构)筑物平面布置:主控室宜布置在便于运行人员巡视检查、易于观察屋外设备、减少电缆长度和避开噪声影响的地段,宜位于配电装置一侧,两配电装置之间或所前。同时,主控室宜有较好的朝向,尽量朝南。炎热地区宜面向夏季盛行风向,避免西晒。载波室与主控室宜紧邻布置。

3)屋外配电装置平面布置:各级电压的屋外配电装置应结合地形和所对应的出线方向进行平面组合,避免或减少线路交叉跨越。配电装置问的相对位置应使主变、无功补偿装置至各配电装置的连接导线顺直短捷以及场内道路和电缆的长度较短。

4)附属建筑物的平面布置:工具间,检修间等可布置于配电室与主控室之间。采暖锅炉房宜布置在采暖建筑集中处。如为燃煤锅炉房,宜位于所区冬季最小频率风向的上风侧,附近设小型煤场。考虑站内设备的防尘要求,冬季采暖尽量使用电暖气或电锅炉。消防器材间宜布置在主变、电容器等带油设备附近。所前建筑及设施应结合工艺所形成的总平面基本格局和进所道路的方位进行布置,并宜面向城镇当地的主要道路或生活区。

三场地硬化和绿化

1)硬化材料种类的选择。在前几年的设计中,由于经济等因素的限制,一般采用粘土砖铺砌或混凝土硬化,而粘土砖铺地的场地在干燥地区尚可,在潮湿且土壤中有腐蚀介质的地区粘土砖强度就会受到影响而大大降低,从而缩短其使用寿命。比如,我省平遥35kV宁固变电站紧邻汾河,站内硬化采用粘土砖铺砌。由于该地区地下水位较高,地面潮湿,且土壤严重碱化,铺砌的粘土砖受到严重侵蚀,砖体起鼓、变酥,场内硬化地面受到了严重破坏。对于此种环境下的地面硬化,我认为采用混凝土硬化效果较好。但随着经济的发展,混凝土硬化渐被水泥砖硬化所取代。还有从经济角度考虑,水泥砖在场区改扩建时,还可重复利用,大大节省了建设投资。因此,在变电站地面硬化设计时,应尽量采用水泥砖硬化。

2)硬化地面的施工。变电站场地水泥砖硬化工程做法:首先,要进行素土夯实;然后打3:7灰土垫层;再做面层。而在实际施工中,由于有些施工人员质量意识淡薄,偷工减料,不能严格按照设计图纸进行施工,往往形成隐患,造成质量事故。

3)绿化品种的选择。为提高站区绿化效果,改善所区运行环境和运行条件,变电站内配电装置场地硬化采用200mm厚碎石地坪,地坪下150mill厚3:7灰土夯实,压实系数不小于0.95。站前区采用水泥方砖硬化,站内路边绿化采用经济型绿篱,既能净化空气,又能美化环境。经过多年的设计,我深有体会:经过硬化,绿化的变电站环境优美,空气清新。给运行人员创造了一个舒适的工作环境,充分体现了“以人为本”的设计理念。

四站内主要建筑设计

首先,从安全角度考虑,规程要求:1)靠近主变侧留门窗是否满足防火要求;2)主控室、配电室是否至少设置了两个外开门,以便发生火灾时迅速疏散;3)配电室穿墙套管洞至室外地面的高度是否满足带电安全距离的要求。其次,主控室、配电室在满足安全的前提下,还要注意适用的原则。设计时应注意:1)主控室是值班人员工作的主要场所,值班室与休息室应紧邻主控室布置,以方便值班人员的工作生活;

2)主控室应有良好的采光,以便于观察主控屏,并且值班人员应能通过主控室靠架构区侧的窗观察主要设备(如变压器)的运行情况;3)根据工艺要求,主控室内主控屏对防尘有较高的要求,因此,主控室地面应采用不起尘的材料(如水磨石、铺地砖等)。主控室是人员活动的主要场所,除满足安全、适用的原则外,在经济允许的前提下,还应考虑给值班人员营造一个良好的工作氛围,即美观的原则。如:主控室内要设吊顶,并且照明要设光带;内墙要刮仿瓷涂料,刷乳胶漆;门窗采用轻质、美观的塑钢门窗;采暖、通风采用空调等。室外要在建筑立面,造型上下功夫,力求设计出使人赏心悦目的建筑。经多年的设计我的一点体会是:在设计建筑立面造型时,从门窗、屋面、雨篷形式以及外墙饰面材料上人手,稍作一些处理,就会呈现一定的效果。总之,变电站建筑设计应本着以人为本的设计理念和安全、适用、经济、美观的设计原则进行设计。

五主建筑结构的抗震与施工

由于我区处于地震多发区,地震设防烈度为8度。我们吸取1976年唐山地震和2008年汶川地震的教训,对变电站这一重要的工业设施的抗震设计和施工予以高度重视。对既有的建筑物进行了抗震加固,抗震设计与施工取得了很大进步,针对存在的问题,也采取了一些有效的措施:

1)建筑物的抗震能力与场地条件有密切的关系,场地条件包括地质构造,地基土质和地形,对建筑物震害有着明显的影响,变电站建筑物如建在地震断裂带及其附近,地震时最易倒塌,因此,选址时应避开地震带。

2)地基基础和上部结构是协同工作的,不能只重视加大基础和加强上部结构,更应重视地基的处理。因为再大的基础断面相对地基来说,也是较弱的,而地基处理是治本的办法。本地区为湿陷性黄土区,为了消除其湿陷性,根据规范要求,一般可采用换土、垫层、打桩等办法,且不应把未经处理的湿陷性黄土作为持力层。

3)结构选型应根据建筑物的基本条件来决定,合理的结构选型,可加强结构的整体刚度。同时,增强结构构造连接,是减轻地震灾害,提高抗震能力的前提条件。结构选型应有明确的计算简图和合理的传力途径,结构内力分析应符合建筑物的实际情况,结构体系应有多道防线,应具有必要的强度和良好的变形能力,避免因部分构件失效而导致整个结构的破坏。

4)横墙最大间距问题。横墙承担横向水平地震力,必须具有足够的承载力,楼屋盖具有传递水平地震力给横墙的作用,如果间距较大,就应该按空旷房屋计算。水平圈梁的设置,是为了加强内外墙整体连接,增强房屋的整体性和刚度,按规范和规定:8度地区,圈梁应沿外墙、纵墙及横墙设置。沿横墙设置的圈梁,间距不大于7m,否则,应利用横梁与圈梁拉通。构造柱的设置应按规范设置:8度以上地区的建筑物所有纵横墙交接处。构造柱在地震时起到增强建筑物整体性,改善结构脆性,增强延性的作用。因此,截面不必过大,配筋不必过多。

5)在正确选择站址和地基基础按抗震设计的基础上,施工质量成为结构抗震的重要环节。目前施工质量存在问题是多方面的,有的施工单位抗震意识缺乏,对工程质量要求不严,设计意图不能落实,不按规程施工,偷工减料,给工程质量带来隐患,因此需要加强施工监督机制,完善施工质量体系,提高施工队伍的素质和质量意识。

六、结语

变电站土建设计的实际情况是千变万化的,但只要抓住重点,就能达到设计的预期效果。由于各地情况不同,要因地制宜,不能生搬硬套有关指标,必须熟悉所设计项目的工艺情况和所址地质情况,才能设计出合理化的工程。

七参考文献

[1]GB50059・92,35kV~110kV变电所设计规范[s]

[2]Dimt5056―1996,变电所总布置设计技术规程[S].

硬件电路设计规范篇8

随着网络的飞速发展和计算机技术的不断进步,计算机应用模式正发生着巨大的变革。网络计算机(nC)的出现标志着计算机体系结构的革新,代表着未来计算机系统的发展方向。由于网络计算机可以采用开放源码操作系统,为发展带有自主知识产权的核心技术创造了有利条件。在开放源代码的操作系统中,Linux是一种较好的选择。它源代码公开,可以根据要求自行剪裁并且稳定,对资源要求低,有大量应用软件支持。按照网络电脑的特定需求,可以开发出具有自主智能产权的CpU,从而提高网络电脑的安全。网络电脑走的是一种网络服务器集中式管理的道路,具有成本低廉、管理费用低的优势。

为此,国家863计算机软硬件技术主题发展规划提出了以下要求:组织优势力量,研究网络计算机系统的关键技术,研制网络计算机系列产品,选择若干典型应用领域的进行应用示范,以此推动国产微处理器芯片和系统软件的发展,促进我国电子政务、网络教育、金融、社区服务、企业管理等方面的信息化建设。

随着计算机网络的迅速发展,网络计算机的安全问题显得非常突出。尤其在某些特殊领域,如电子政务等,安全问题显得极端重要。目前,在计算机安全方面,有各种不同的方法,但效果都不太好。本文提出的智能iC卡技术,在网络计算机是一种全新的方法,能够很好地实现网络计算机的安全。智能iC卡(SmartiC)具有较高的安全性,以前主要用在金融、电信等领域,笔者把此技术推广应用到网络计算机,以提高安全性。智能iC卡本身含有自行研制的CoS(ChipoS)和加密算法,并采用多密钥、多加密算法体系,对所有的敏感数据文件加密保护。如图1所示。

   iC卡子系统是整个网络计算机系统网络安全的核心,它保存了加密算法所需要的私有密钥,供加密算法对网络上传输的数据加密使用。

1iC卡读写器硬件开发方案

iC卡作为一种信息技术可以广泛应用于许多行业领域,如金融、电信等,不同领域均有各自不同的应用特点、应用环境和应用要求。iC卡在某一领域的应用,必须适应该领域的特点。国际上有关组织及部分针对各个领域的不同要求,制定了iC卡在某一领域应用所应参考或遵循的应用标准。不过所有智能iC卡都必须符合国际标准化组织的iSo/ieC7816国际标准。读写器的硬件也必须遵循iSo/ieC7816国际标准。

iSo/ieC7816标准要求iC卡与iC卡读写器使用串行通信,时序要求非常严格。常用的mCS51系列单片机速度较慢,每个指令周期需要12个机器周期,较难达到该标准所要求的严格时序。microchip公司的piC系列单片机采用RiSC结构,每个指令周期为4个时钟周期,并且除转移指令外,所有指令都可以在一个指令周期内完成,速度较快,能够满足该标准对时序的严格要求。所以采用一片piC16C73单片机作为iC卡读写器的控制器。

读写器与iC卡的通信,采用半双工的iSo7916-3字符帧协议标准。3.57mHz的晶振为iC卡和读写器提供时钟。在缺省工作方式下,iC卡和读写器的通信速率为9600bps。即时钟频率为3.57mHz,每372个时钟输入或输出一个比特位。在未来需要较高通信速度时,可以在对程序作较小改动的前提下,提高晶振频率,如采用2×3.57mHz的晶振。

   iC卡读写器与nC之间的通信,可以采用USB接口、并口、串口、pS/2口等多种方案。采用USB接口可以实现即插即用和热拔插等功能。但使用USB接口,电路和协议都很复杂,并且增加USB接口器件会较大地增加系统成本。并口有较高的通信速度,但通信线路较多,硬件比较复杂,可靠性不好,且iC卡读写器并不需要太高的通信速度,故使用并口也不是理想的方案。而pS/2口一般固定给键盘和鼠标等标准外设使用,使用pS/2口就会占用这些标准外设的接口,故也不在考虑范围之内。使用串口通信虽然速度慢,但却具有硬件成本低、软件实现简单、运行可靠等优点。而通信速度完全可以满足iC卡读写器的要求。所以iC卡读写器采用了RS232串口与nC主机通信的方案。

整个系统使用5V电压供电。iC卡读写器采用低功耗设计,系统电源从RS232接口的信号线上获得。RS232接口的电压为±12V,经过电源稳压器件Lp2950将12V电压变为+5V,给iC卡读写器所有器件提供电源。

iC卡读写器硬件的原理框图如图2所示。

iC卡各引脚接到单片机i/o口上,由单片机对iC卡进行读写。由于pC(nC)机的RS232接口电平与单片机的逻辑电平不同,所以需要对串口信号进行电平转换。图2中使用了电平转换器件。它把单片机的ttL逻辑电平转化为RS232接口的±12V电平,实现单片机与RS232的透明传输。转换后的信号直接接在RS232接口上。

2iC卡读写器软件开发方案

iC卡读写器驱动程序由读写器与iC卡通信的通信程序、读写器与nC或pC通信的通信程序以及nC与读写器通信的驱动程序三部分组成。其中,nC与iC卡读写器通信的程序符合pC/SC规范,它与pC/SC规范的中间件结合,向应用程序提供符合pC/SC规范的api函数。

2.1读写器与iC卡通信的通信程序

该通信程序采用iSo7816-3字节协议标准编制。使用t=0,即字符协议,主要实现与iC卡的通信。由于选用的时钟为3.57mHz,在iC卡i/o口默认的9600bps通信速度下,每隔372个系统时钟脉冲,i/o状态可能变化一次。所以,为了准确读取iC卡i/o状态,在iC卡输出的每一位脉冲中间,即i/o启动186个时钟周期后,读取i/o状态。为了排除可能的干扰,在186个时钟周期的两侧再采样两点,共取样三点。三个采样点之间每两个点间隔24个时钟周期。如果三点取样值都为1,则输出为1;如果三点取样值都为0,则输出为0;如果三点取样值中有两点为1,一点为0,则输出为1;如果三点取样值中两点为0,一点为1,则输出为0。如图3所示。

   2.2读写器与nC的通信的通信程序

读写器与nC的通信程序采用异步串行口协议,双方通信先握手取得同步,然后再进行串行口通信。读写器通过串口接收nC发来的命令,并将执行结果通过串口发回。读写器与nC的通信在不影响读写器与iC卡通信的前提下完成。

2.3nC的驱动程序

nC通信程序驻留于nC,它与读写器的通信程序通信。这个程序符合pC/SC规范。规范规定的分层模块结构见图4。

图4中的iCC就是integratedCircuitCard,即iC卡。iFD就是interfaceDevices,即iC卡读写器。iC卡插入读写器后,通过iC卡读写器iFD与nC驱动程序的iFDHandler层通信。iCCResourcemanager层管理各种不同的iC卡读写器和iC卡资源。每一种iC卡读写器通过各自的iFDHandler接口函数与iCCResourcemanager层通信,iCCResourcemanager层根据上层软件的要求,将上层软件发来的命令分别发到相应的iFDHandler,再通过它发给iC卡读写器和iC卡。而iCC-awareapplications层对上层应用软件提供一个通用的api接口,以满足不同的应用程序对不同的iC卡和读写器的编程要求。Serviceprovider层介于iCC-awareapplications层和iCCResourcemanager层之间,要吧提供文件的存取控制和驱动程序的加密通信功能。当然,在不使用加密通信功能时,也可以不用这一层。

nC的驱动程序根据规范要求,提供符合标准的iFDHandler层接口函数,其余各部分由符合规范的中间件提供。应用程序调用间件提供的api函数发送命令。中间件把应用程序发来的命令编译成动态链接库的iFDHandler接口函数发给iC卡读写器,最终发给iC卡。最后,iC卡将返回结果通过一系列相反的过程返回给应用程序。

3SmartiC卡开发方案

iC卡芯片具有写入数据和存储数据的能力,iC卡存储器的内容根据需要可以有条件地由外部读取,以供内部信息处理的判定。根据卡中所嵌入的集成电路的不同可以分成三类:

(1)存储器卡,卡中的集成电路为eepRom(可以用电擦除的可编程只读存储器);

(2)逻辑加密卡,卡中的集成电路具有加密逻辑和eepRom;

(3)CpU卡,卡中的集成电路包括中央处理器CpU、eepRom、随机存储器Ram以及固化在只读存储器Rom中的片内操作系统CoS(ChipoperatingSystem)。

除此之外,iC卡根据读写方式不同,可分为接触式iC卡和非接触式iC卡两种。由于网络安全要求,iC卡在使用时必须一直插在读写器内。非接触iC卡由于其读写器没有专用卡座,尽管有寿命长等优点,但不适用于网络安全应用。

同时,由于CpU卡计算能力强,可以使用自己的CoS操作系统,甚至使用硬件完成加密算法。而iC存储卡仅具有存储功能,安全性不如CpU卡好,的怪SnCS(SmartnetworkComputerSystem)的iC卡子系统采用接触式CpU卡。

网络计算机安全系统的iC卡设计满足标准化(国际标准)和智能化,既有安全性又有易维护性。它由硬件和软件两部分组成。

(1)硬件

采用CpU卡,它含有CpU及Ram、Rom等。具有优秀的安全性能,可能有效防止黑客对iC卡解密。

(2)软件

·通信程序

iC卡软件即CoS(ChipoperatingSystarm),它是智能卡芯片内的一个监控软件,用于接收和处理外界发给智能卡的各种信息,管理卡内的存储器,并给出相应的应答信息。它有iC卡与读写器的通信程序。该通信程序完成与读写设备之间的通信,必须满足7816-3字符帧协议。该协议含有t=0字符传送协议和t=1数据块传送协议。

·安全文件系统

CoS文件系统与普通文件系统不同,它着重强调文件系统的安全性,除提供通常的字符流文件操作外,还提供记录文件的读写操作等。对每种不同的文件操作进行不同的访问权限保护。CoS中的文件系统与上层应用软件的用户权限管理相结合,共同完成对iC卡文件的访问。由于iC卡的文件存储介质采用eepRom,每次写文件操作时,必须对要写入的介质先进行擦除操作。

·安全机制

安全机制用于身份鉴别和iC卡与读写设备双方的认证工作及各种数据的加密、数据完整性检查等操作。每个用户iC卡上都有用户的一个私钥,服务器把用公钥加密后的数据经iC瞳用自己的私钥解密后将正确的信息通过网络送给服务器,由服务器根据解密的信息完成对用户权限的鉴别。

·加解密算法

加解密算法,用于对传入iC卡的数据进行加、解密,此外它还提供扩展接口,方便用户增加新的加密算法。

·命令解译

命令解译是CoS的上层软件,它实现iSo7816-4的各种命令和Ca命令。

根据串口窃电iC卡读写器设计技术思想,iC卡读写器采用低功耗元器件(piC16C73B低功耗单片机和74LV125a等),同时采用分离元件取代maX系列的RS232接口电路,实现了无需外接电源的串口iC卡读写器。经实验测试,整个电路功耗低于10ma,完全可以由串口提供电源。

硬件电路设计规范篇9

关键词:机房管理研究

1﹑机房的安全管理

计算机机房的安全管理,表现在三个方面:其一,是用电安全管理。由于机房的电线线路错综复杂,任何一处的差错小则可能引起设备的损坏,大则可能引起火灾,造成人员的伤亡。所以管理中用电安全十分重要。在实际工作中,电源控制由管理人员具体负责,白天巡视线路,每晚关闭电源,严格规范学生行为,禁止学生乱碰。其二,是设备安全管理。为计算机机房安装门禁系统,机房内和机房外的通道里安装监控摄像头,管理人员能随时了解机房的情况,如出现问题即可调阅录像资料。其三,是人员安全。所有的房间都应配备灭火器等消防器材。同时,应配有完整的消防预案和消防疏散示意图。要对管理人员进行消防知识培训。

2﹑机房环境

机房适宜的卫生环境不仅能延长计算机硬件资源的寿命而且能保护学生的身心健康。电脑室里的光线不能太强,也不能太暗,为此,机房的窗户都要添加窗帘,可以方便我们控制机房的光线。为了减少机内灰尘,保证设备正常运行,管理人员要定期除尘,做好机房的防尘工作。电脑的很多部件在15-35℃一般都能正常工作,温度太低或太高都容易造成频繁的死机﹑元件的烧毁等。为此,学校的每个机房都要配备立式空调以控制电脑机房温度。

3﹑计算机硬件﹑软件的管理和维护

3.1硬件的管理和维护

硬件是机房的核心,软件也是以它为基础的.在允许的条件下统一配置,统一管理维护。为了方便维护,每台机器上应装有还原卡,安装好之后使之具有还原功能.还能使该卡具有网络对拷功能。由于大部分学生使用硬盘﹑U盘等,为了有效防止病毒,机房应将各个区设为自动还原。

计算机是由主板﹑CpU﹑内存﹑显卡等几部分组成,硬件的维护也不外乎在这几方面找原因。如使用时间过长,电源烧毁,元器件老化﹑损坏引起板卡不能正常工作等等, 对于机房管理人员,要求熟悉计算机组成原理和各种操作系统,熟练使用维修用仪器仪表,如示波器﹑万用表﹑逻辑笔﹑故障测试卡等。维护工作应遵循计算机故障与维护的操作步骤﹑基本原则和维修方法进行。机房应备有计算机用的各种零配件,以供维修之用。

3.2软件的维护

为保证计算机正常运行,需要从技术和管理等方面采取有效措施,减少病毒的破坏。选择升级方便﹑病毒更新速度快的杀毒软件,及时对计算机进行杀毒。应及时发现并排除网络故障,网络故障的产生往往是由交换机死机,ip地址或网关被修改或删除,ip地址状突,网络线接头松动,网卡坏等这几种情况导致的。合理规划磁盘大小,根据软件的不同使用情况采用多系统。把专业类型相同的软件放在同一操作系统下,实行分级管理,保证在一个系统出现现问题时不影响其它系统的使用。

我们所使用的软件会根据本学期专业及课程的调整而改变,这样我们就需要及时更新计算机上的应用软件。我们可以选择一台计算机作为发射端,安装好操作系统和应用软件,并进行必要的网络设置,包括计算机名﹑工作组﹑ip地址等,设置好相关的硬盘保护保护信息,开启机房内的其它电脑,可以一次性把发身端的数据发送给其它电脑。但这里要求所有电脑统一型号和统一参数,否则数据发送不可能成功。

可以利用GHoSt工具软件将系统软件和应用软件甚至硬盘做镜像文件,保存在另外的硬盘或分区上,当硬盘上的操作系统瘫痪,出现数据丢失时,可以利用GHoSt快速恢复,免去了重新安装系统和各种软件的烦恼。

4﹑建立健全机房管理规章制度

机房管理制度建设是保证计算机机房正常使用的基础。要健全各种规章制度,比如:上机注意事项﹑设备管理制度﹑安全制度以及机房上机记录等。在计算机机房内张贴管理员及教师岗位职责。无规据不成方圆。通过制度建设,可以确保在实际管理中有据可依,按章办事,明确管理目标,简化管理程序,同时也减少了学生﹑教师与管理员三方的矛盾。同时,明确各类人员的责任与义务,加强监督。机房管理员负责保护机房的设备与软硬件及其升级和杀毒等工作。教师要做好对学生的教育﹑督导与管理,同时也要严于律已。学生要规范自己的行为,严格遵守机房管理规章制度,不做与学习无关的事。三方面还得做好互相监督,更好的保证机房规范化管理的运行。

硬件电路设计规范篇10

关键词光纤通道;航电总线;pCie;数据采集

中图分类号:tp391.9文献标识码:a文章编号:1671-7597(2013)11-0000-00

随着航电系统集成化、综合化、高速化的发展趋势,航电总线对数据传输速率的要求越来越高,而传统的总线带宽已远远不能满足通信的需求。光纤通道(FiberChannel)是新一代航空电子高速串行总线,具有高实时性、高可靠性和高带宽等优点,且支持多种上层协议。因此,以光纤通道数据总线来替代传统总线将成为先进的综合航电系统互联的必然选择。

1光纤通道在航空电子环境的应用

1.1光纤通道技术简介

FC定义了点对点(point-to-point)、仲裁环(arbitratedLoop)和交换机(Fabric)三种基本的拓扑结构。FC的传输速率高达2Gbps,以后可支持10Gbps以上;支持通道传输和网络传输两种方式,且支持多种上层协议;它能够实现单工、半双工和全双工的通信模式,并可以实现不同速率的通信联接。光纤通道具有高可靠性、高实时性、高性价比并具有开放式网络等特征,能够满足当今时代对海量数据高速传输的需求,其在数据延迟、带宽、传输介质和距离、错误检测、可靠性、优先级等方面均适用于航空电子系统。

1.2FC-ae概述

为了在航空电子环境中应用光纤通道技术而定义了有关在航空电子环境中应用的协议子集FC-ae(FiberChannelavionicsenvironment),它规范了在航空电子环境中光纤通道交换网络与环路拓扑连接设备的选择。美国已经将光纤通道航电总线技术应用在其新一代战机中。

美国国家标准委员会(anSi)成立一个分委员会(anStFC-ae),研究将光纤通道技术用于航空电子环境,并制定出专门的子集,即FC-ae(光纤通道航空电子环境)。

2光纤通道航电总线采集系统设计方案

目前光纤传输的速率达2Gbps甚至更高,所以对基于光纤通道的航电总线数据采集,必须设计一个实时的高速光纤通道总线数据采集系统,保证系统接收到的信号不丢失数据。设计选用标准pCie总线作为系统的传输总线。系统分为硬件和软件两部分,硬件设计主要完成机上航电总线光纤数据到采集设备内存的传输,具体过程为采集系统光口接收机上航电总线的光信号,通过光电转换器输送到现场可编程阵列(FieldprogramableGatearray,FpGa)内部,在FpGa内实现pCie协议,通过pCie总线传输到航电数据采集器内存。软件部分主要完成对采集的数据进行完整性检测和余度去除。系统按照配置文件要求,选择用户关心的数据,附加测试系统iRiG-B时间标记,生成iena格式的网络数据包,通过千兆以太网传送到机载通用数据记录器进行记录;同时通过百兆以太网传送到网络交换机,与通用参数采集器送来的网络数据包一起送往网络数据选择设备。网络数据选择设备对送来的数据包进行筛选,提取用户关心的参数送往遥测系统进行实时监控。

图1系统设计方案

3硬件设计

本系统硬件设计的关键是确保实时高速的数据接收。硬件的工作过程为通过光纤接收前端数据,经FpGa的硬核把串行数据恢复为并行数据,并且附加测试系统时间标记,恢复出的数据放入DDR2缓存,然后主机以直接存储器存取(Directmemoryaccess,Dma)方式读入内存待用户应用软件处理。硬件设计的整体框图,如图2所示。

图2硬件设计框图

由图所示硬件平台主要由FpGa、XmC模块及光电转换电路、pCie端点模块及总线逻辑、Dma控制逻辑,FLaSH和DDR2高速缓存模块,电源管理模块,时钟管理模块和复位电路等组成。

3.1FpGa

根据FC-aSm协议处理的要求,通过是否支持pCie4Lanes接口、是否具powerpC处理器硬核、以及逻辑规模三个方面综合考虑器件选型。V5系列器件的FXt系列同时具备pCie和powerpC硬核,对于接口的设计难度降低,同时该系列器件逻辑规模更大,可以满足设计升级更改的需要,其采购成本从长期来成本低,综合考虑后采用V5的FXt系列。

该系列器件具备powerpC440的处理器硬核以及pCie8Lanes的硬核,在不占用逻辑资源的情况下为用户提供高速处理的通信接口。其中powerpC440处理器的性能主要指标如下。

两个powerpC核心,单核心最高主频550mHz,处理能力1000DmipS;7级流水线,单周期多指令以及指令乱序执行能力;具备一级数据和指令Cache,大小均为32KB;采用Switch总线结构,并集成Dma控制器;XC5VFX200t器件提供了多达960个用户io和24路的Rocketio,其Rocketio串行接口具备如下特性:支持150mbps~6.5Gbps多种通信速率;支持CRC编码生成和校验;接收器可以根据编程实现时间均等功能;支持Sata、pCie、Rapidio以及FC等多种高速通信接口编码和电平特性。

3.2pCie总线逻辑

3.2.1pCie总线介绍

pCie总线是inteL于1997年提出的第3代i/o互连标准。它拥有很好的灵活性,一个pCie使用的物理连接配置不同的链路来实现不同设备之间通信带宽的要求。它采用的是点对点技术,对系统内的所有设备分配独立的通道资源,提高数据传输率。pCie总线在通信模式方面采用时钟数据恢复同步技术,保障时钟和数据在传输过程中的同步性,从而保证了数据传输的可靠性。

3.2.2pCie接口设计

本设计选用带有硬核的V5系列的FpGa实现pCie总线逻辑。FC-aSm协议处理选用V5系列器件,该系列器件最多支持3个pCie硬核,单个硬核最大可以达到8Lanes的通信速率,同时该pCie接口具备如下特点:最大支持pCie8Lanes,带宽可达双向40Gbs;兼容pCie1.1规范;管理控制、系统以及事务接口分离,可以较大提高设计灵活性;支持消息中断方式(mSi);提供第三方Dma引擎设计参考,可实现DirectSlave的Dma读写访问操作;兼容传统的pCi软件架构,具备较好的软件兼容性;有可选的硬核用于设计,可有效降低器件逻辑规模;具备32bit、64bit的用户逻辑访问接口;支持pC机winDriver等驱动开发环境,能够减少pC上驱动开发的工作量。

pCie硬核结构图如图3所示,包含传输层、链路层、物理层应用层及相应的状态配置模块。在该逻辑的结构的基础上增加Dma引擎,位于事务层之上,接管到主机所有的FC帧的数据内容传输,局部端使用32bit接口,逻辑工作速度至少50mHz,提供至少200mB/s的主机通信带宽。在该接口的设计中使用V5器件集成的pCie硬核完成FiC设备主机接口的设计,通过在Userapplication接口(pCieLocal接口)增加Dma以及aSm协议调度收发逻辑,并挂接相应的资源完成设备控制和协议处理功能。该部分的接口需要实现如下的访问控制功能:

1)aSm消息缓冲双口的Burst读写访问功能。

2)信息交换区的Burst读写访问功能;设备控制寄存器读写访问。

3)硬件Dma读写操作的主动发起和控制功能。

4)消息发送接收配置区的读写访问操作。

5)pCie设备配置功能。

pCie接口的是主机和FC设备进行数据交互的接口,主机对FC所有资源的访问都是通过pCie接口实现的。

3.3Dma控制器

基于pCie总线的航电数据采集系统数据传输使用Dma模式。它的使用可以实现低CpU占用率、高性能、高数据传输率,适用于高速海量数据交互的场合。有测试表明Dma传输模式比简单数据传输模式速率高4倍还多。本地Dma控制器是基于FpGa实现。因此,设计中采用Dma模式进行数据传输。

3.4复位电路

将三个全局复位源:复位开关mR和来自板间连接器的mRSti#,经二极管组合后送给复位芯片,该芯片是一组CmoS监控电路,能够监控电源电压、电池故障和微处理器(mpU)或微控制器(mCU)的工作状态。复位信息经过复位芯片过滤后再输入FpGa中,再由FpGa复位模块中的FLaSH、双口等需要复位的芯片。另外在复位芯片输入端将Done信号和全局复位信号进行组合,确保FpGa逻辑加载在复位结束前完成。复位电路示意图见图4。

图4复位电路图

3.5电源

模块采用5V直流供电,经过电压转换器件产生各种所需电压。采用DC/DC实现输入至3.3V、1.8V、2.5V、1.0V的电源转换,DC/DC能够提供双路各8a的连续电流输出,输入电压范围为0.6V至5V,输出最低电压为0.6V,具有短路和过压保护功能。FpGa的高速串行i/o设计的关键是电源的设计,高速串行i/o工作需要2个1V(mGtaVCC和mGtaVCCpLL)和1.2V(mGtaVtt)两种电压,这两种电压需要良好的纹波特性,要求纹波不能大于25mVpp。为此设计中选用性能良好的线性电源LDo进行供电。

4软件设计

系统软件主要由硬件驱动程序和应用程序组成。应用程序包括地面监管软件与机载任务软件。

4.1驱动程序的设计

设备驱动软件主要用于实现模块设备的驱动支持,由设备管理驱动,监控管理驱动以及时统管理驱动等模块组成,提供标准的设备驱动控制接口以及中断管理的支持。所有的驱动软件采用统一的api接口形式,保证软件具备较好的可读性和可维护性。

设备驱动软件接口函数都具有如下的基本结构:

indcode=procname(par1,par2,...)

其中:

procname:驱动接口函数名;

par1,par2,...:相应函数的输入输出参数;

indcode:返回值,返回值表明函数的执行情况

4.2应用程序的设计

机载任务软件运行在光纤通道数据采集器上,采用Vxworks5.5操作系统,用于实现光纤通道数据采集器的设备管理、监控管理,以及监控数据的解包/打包等应用处理功能。光纤通道数据采集器机载系统软件接收FC监控端口的数据,根据机载配置文件的设置,从相应的FC帧中提取用户所关心的参数,同时附加从iRiG-B接口获取的时标信息(标记的时间是本网络包第一个光纤通道数据到达的时刻),以iena网络数据包格式组包,通过以太网接口发往记录设备或遥测设备。

图5机载任务软件流程图

光纤通道数据采集系统地面监管软件运行于专用编程检查装置上,采用百兆/千兆以太网建立与机载任务软件的通信,应用于FC数据采集系统中。在地面综合联试环境下,实现对光纤通道航电总线采集器配置文件、采集格式、记录数据格式等信息的编程设置和控制,并实现采集数据的在线监控和实时存储功能,软件界面友好、便于用户操作;并为机载嵌入式任务软件提供配置文件加载的支持。

地面监管软件与机载任务软件采用客户端/服务器交互模式,通过百兆/千兆以太网建立与FC网络数据采集器之间的通信连接,具备系统维护功能、配置功能、监视功、数据采集回放、工程管理等功能。

5结束语

本设计实现了基于pCie总线技术的光纤通道航电数据采集系统。在与机上航电系统联试中得到了实际的验证,填补了现有机载数据采集系统不能采集光纤通道航电总线数据的缺口。本系统采用powerpC440处理器,以Vxworks5.5为操作平台,利用FC监控成熟技术,使得该系统操作方便,扩展性好,开发周期短,用户界面友好,可靠性高。另外本系统不但可以对光纤通道航电总线数据进行采集,而且能实现对所有基于光纤通道的总线数据进行采集。

参考文献

[1]FibreChannelavionicsenvironment[S].anSi.2002.

[2]FibreChannelFramingandSignaling,nCitSworkingdraftproposedamericannationalStandardforinformationtechnology,Rev1.70[S].2002.2.8.

[3]FibreChannelavionicsenvironment,nCitSworkingdraftproposedamericannationalStandardforinformationtechnology,Rev2.6[S].2002.2.7.

[4]马萍,唐卫华,李绪志.基于pCiexpress总线高速数采卡的设计与实现[J].微计算机信息,2008,24(9-1):116-118.

[5]杨阿锋,吴帅,刘凯.pCie接口高速数据传输卡的驱动程序开发[J].中国测试技术,2008,34(2):115.