集成电路原理与设计十篇

发布时间:2024-04-30 00:37:39

集成电路原理与设计篇1

以集成电路为龙头的信息技术产业是国家战略性新兴产业中的重要基础性和先导性支柱产业。国家高度重视集成电路产业的发展,2000年,国务院颁发了《国务院关于印发鼓励软件产业和集成电路产业发展若干政策的通知》(18号文件),2011年1月28日,国务院了《国务院关于印发进一步鼓励软件产业和集成电路产业发展若干政策的通知》,2011年12月24日,工业和信息化部印发了《集成电路产业“十二五”发展规划》,我国集成电路产业有了突飞猛进的发展。然而,我国的集成电路设计水平还远远落后于产业发展水平。2013年,全国进口产品金额最大的类别是集成电路芯片,超过石油进口。2014年3月5日,国务院总理在两会上的政府工作报告中,首次提到集成电路(芯片)产业,明确指出,要设立新兴产业创业创新平台,在新一代移动通信、集成电路、大数据、先进制造、新能源、新材料等方面赶超先进,引领未来产业发展。2014年6月,国务院颁布《国家集成电路产业发展推进纲要》,加快推进我国集成电路产业发展,10月底1200亿元的国家集成电路投资基金成立。集成电路设计人才是集成电路产业发展的重要保障。2010年,我国芯片设计人员达不到需求的10%,集成电路设计人才的培养已成为当前国内高等院校的一个迫切任务[1]。为满足市场对集成电路设计人才的需求,2001年,教育部开始批准设置“集成电路设计与集成系统”本科专业[2]。

我校2002年开设电子科学与技术本科专业,期间,由于专业调整,暂停招生。2012年,电子科学与技术专业恢复本科招生,主要专业方向为集成电路设计。为提高人才培养质量,提出了集成电路设计专业创新型人才培养模式[3]。本文根据培养模式要求,从课程体系设置、课程内容优化两个方面对集成电路设计方向的专业课程体系进行改革和优化。

一、专业课程体系存在的主要问题

1.不太重视专业基础课的教学。“专业物理”、“固体物理”、“半导体物理”和“晶体管原理”是集成电路设计的专业基础课,为后续更好地学习专业方向课提供理论基础。如果基础不打扎实,将导致学生在学习专业课程时存在较大困难,更甚者将导致其学业荒废。例如,如果没有很好掌握moS晶体管的结构、工作原理和工作特性,学生在后面学习CmoS模拟放大器和差分运放电路时将会是一头雾水,不可能学得懂。

但国内某些高校将这些课程设置为选修课,开设较少课时量,学生不能全面、深入地学习;有些院校甚至不开设这些课程[4]。比如,我校电子科学与技术专业就没有开设“晶体管原理”这门课程,而是将其内容合并到“模拟集成电路原理与设计”这门课程中去。

2.课程开设顺序不合理。专业基础课、专业方向课和宽口径专业课之间存在环环相扣的关系,前者是后者的基础,后者是前者理论知识的具体应用。并且,在各类专业课的内部也存在这样的关系。如果在前面的知识没学好的基础上,开设后面的课程,将直接导致学生学不懂,严重影响其学习积极性。例如:在某些高校的培养计划中,没有开设“半导体物理”,直接开设“晶体管原理”,造成了学生在学习“晶体管原理”课程时没有“半导体物理”课程的基础,很难进入状态,学习兴趣受到严重影响[5]。具体比如在学习moS晶体管的工作状态时,如果没有半导体物理中的能带理论,就根本没办法掌握阀值电压的概念,以及阀值电压与哪些因素有关。

3.课程内容理论性太强,严重打击学生积极性。“专业物理”、“固体物理”、“半导体物理”和“晶体管原理”这些专业基础课程本身理论性就很强,公式推导较多,并且要求学生具有较好的数学基础。而我们有些教师在授课时,过分强调公式推导以及电路各性能参数的推导,而不是侧重于对结构原理、工作机制和工作特性的掌握,使得学生(尤其是数学基础较差的学生)学习起来很吃力,学习的积极性受到极大打击[6]。

二、专业课程体系改革的主要措施

1“。4+3+2”专业课程体系。形成“4+3+2”专业课程体系模式:“4”是专业基础课“专业物理”、“半导体物理”、“固体物理”和“晶体管原理”;“3”是专业方向课“集成电路原理与设计”、“集成电路工艺”和“集成电路设计CaD”;“2”是宽口径专业课“集成电路应用”、“集成电路封装与测试”,实行主讲教师负责制。依照整体优化和循序渐进的原则,根据学习每门专业课所需掌握的基础知识,环环相扣,合理设置各专业课的开课先后顺序,形成先专业基础课,再专业方向课,然后宽口径专业课程的开设模式。

我校物理与电子科学学院本科生实行信息科学大类培养模式,也就是三个本科专业

大学一年级、二年级统一开设课程,主要开设高等数学、线性代数、力学、热学、电磁学和光学等课程,重在增强学生的数学、物理等基础知识,为各专业后续专业基础课、专业方向课的学习打下很好的理论基础。从大学三年级开始,分专业开设专业课程。为了均衡电子科学与技术专业学生各学期的学习负担,大学三年级第一学期开设“理论物理导论”和“固体物理与半导体物理”两门专业基础课程。其中“固体物理与半导体物理”这门课程是将固体物理知识和半导体物理知识结合在一起,课时量为64学时,由2位教师承担教学任务,其目的是既能让学生掌握后续专业方向课学习所需要的基础知识,又不过分增加学生的负担。大学三年级第二学期开设“电子器件基础”、“集成电路原理与设计”、“集成电路设计CaD”和“微电子工艺学”等专业课程。由于“电子器件基础”是其他三门课程学习的基础,为了保证学习的延续性,拟将“电子器件基础”这门课程的开设时间定为学期的1~12周,而其他3门课程的开课时间从第6周开始,从而可以保证学生在学习专业方向课时具有高的学习效率和大的学习兴趣。另外,“集成电路原理与设计”课程设置96学时,由2位教师承担教学任务。并且,先讲授“CmoS模拟集成电路原理与设计”的内容,课时量为48学时,开设时间为6~17周;再讲授“CmoS数字集成电路原理与设计”的内容,课时量为48学时,开设时间为8~19周。大学四年级第一学期开设“集成电路应用”和“集成电路封装与测试技术”等宽口径专业课程,并设置其为选修课,这样设置的目的在于:对于有意向考研的同学,可以减少学习压力,专心考研;同时,对于要找工作的同学,可以更多了解专业方面知识,为找到好工作提供有力保障。2.优化专业课程的教学内容。由于我校物理与电子科学学院本科生采用信息科学大类培养模式,专业课程要在大学三年级才能开始开设,时间紧凑。为实现我校集成电路设计人才培养目标,培养紧跟集成电路发展前沿、具有较强实用性和创新性的集成电路设计人才,需要对集成电路设计方向专业课程的教学内容进行优化。其学习重点应该是掌握基础的电路结构、电路工作特性和电路分析基本方法等,而不是纠结于电路各性能参数的推导。

在“固体物理与半导体物理”和“晶体管原理”等专业基础课程教学中,要尽量避免冗长的公式及烦琐的推导,侧重于对基本原理及特性的物理意义的学习,以免削弱学生的学习兴趣。moS器件是目前集成电路设计的基础,因此,在“晶体管原理”中应当详细讲授moS器件的结构、工作原理和特性,而双极型器件可以稍微弱化些。

对于专业方向课程,教师不但要讲授集成电路设计方面的知识,也要侧重于集成电路设计工具的使用,以及基本的集成电路版图知识、集成电路工艺流程,尤其是CmoS工艺等相关内容的教学。实验实践教学是培养学生的知识应用能力、实际动手能力、创新能力和社会适应能力的重要环节。因此,在专业方向课程中要增加实验教学的课时量。例如,在“CmoS模拟集成电路原理与设计”课程中,总课时量为48学时不变,理论课由原来的38学时减少至36学时,实验教学由原来的10学时增加至12个学时。36学时的理论课包含了单级运算放大器、差分运算放大器、无源/有源电流镜、基准电压源电路、开关电路等多种电路结构。12个学时的实验教学中2学时作为eDa工具学习,留给学生10个学时独自进行电路设计。从而保证学生更好地理解理论课所学知识,融会贯通,有效地促进教学效果,激发学生的学习兴趣。

集成电路原理与设计篇2

在非微电子专业如计算机、通信、信号处理、自动化、机械等专业开设集成电路设计技术相关课程,一方面,这些专业的学生有电子电路基础知识,又有自己本专业的知识,可以从本专业的系统角度来理解和设计集成电路芯片,非常适合进行各种应用的集成电路芯片设计阶段的工作,这些专业也是目前芯片设计需求最旺盛的领域;另一方面,对于这些专业学生的应用特点,不宜也不可能开设微电子专业的所有课程,也不宜将集成电路设计阶段的许多技术(如低功耗设计、可测性设计等)开设为单独课程,而是要将相应课程整合,开设一到二门集成电路设计的综合课程,使学生既能够掌握集成电路设计基本技术流程,也能够了解集成电路设计方面更深层的技术和发展趋势。因此,在课程的具体设置上,应该把握以下原则。理论讲授与实践操作并重集成电路设计技术是一门实践性非常强的课程。随着电子信息技术的飞速发展,采用eDa工具进行电路辅助设计,已经成为集成电路芯片主流的设计方法。因此,在理解电路和芯片设计的基本原理和流程的基础上,了解和掌握相关设计工具,是掌握集成电路设计技术的重要环节。技能培训与前瞻理论皆有在课程的内容设置中,既要有使学生掌握集成电路芯片设计能力和技术的讲授和实践,又有对集成电路芯片设计新技术和更高层技术的介绍。这样通过本门课程的学习,一方面,学员掌握了一项实实在在有用的技术;另一方面,学员了解了该项技术的更深和更新的知识,有利于在硕、博士阶段或者在工作岗位上,对集成电路芯片设计技术的继续研究和学习。基础理论和技术流程隔离由于是针对非微电子专业开设的课程,因此在课程讲授中不涉及电路设计的一些原理性知识,如半导体物理及器件、集成电路的工艺原理等,而是将主要精力放在集成电路芯片的设计与实现技术上,这样非微电子专业的学生能够很容易入门,提高其学习兴趣和热情。

2非微电子专业集成电路设计课程实践

根据以上原则,信息工程大学根据具体实际,在计算机、通信、信号处理、密码等相关专业开设集成电路芯片设计技术课程,根据近两年的教学情况来看,取得良好的效果。该课程的主要特点如下。优化的理论授课内容

1)集成电路芯片设计概论:介绍iC设计的基本概念、iC设计的关键技术、iC技术的发展和趋势等内容。使学员对iC设计技术有一个大概而全面的了解,了解iC设计技术的发展历程及基本情况,理解iC设计技术的基本概念;了解iC设计发展趋势和新技术,包括软硬件协同设计技术、iC低功耗设计技术、iC可重用设计技术等。

2)iC产业链及设计流程:介绍集成电路产业的历史变革、目前形成的“四业分工”,以及数字iC设计流程等内容。使学员了解集成电路产业的变革和分工,了解设计、制造、封装、测试等环节的一些基本情况,了解数字iC的整个设计流程,包括代码编写与仿真、逻辑综合与布局布线、时序验证与物理验证及芯片面积优化、时钟树综合、扫描链插入等内容。

3)RtL硬件描述语言基础:主要讲授Verilog硬件描述语言的基本语法、描述方式、设计方法等内容。使学员能够初步掌握使用硬件描述语言进行数字逻辑电路设计的基本语法,了解大型电路芯片的基本设计规则和设计方法,并通过设计实践学习和巩固硬件电路代码编写和调试能力。

4)系统集成设计基础:主要讲授更高层次的集成电路芯片如片上系统(SoC)、片上网络(noC)的基本概念和集成设计方法。使学员初步了解大规模系统级芯片架构设计的基础方法及主要片内嵌入式处理器核。丰富的实践操作内容

1)Verilog代码设计实践:学习通过课下编码、上机调试等方式,初步掌握使用Verilog硬件描述语言进行基本数字逻辑电路设计的能力,并通过给定的ip核或代码模块的集成,掌握大型芯片电路的集成设计能力。

2)iC前端设计基础实践:依托Synopsys公司数字集成电路前端设计平台DesignCompiler,使学员通过上机演练,初步掌握使用DesignCompiler进行集成电路前端设计的流程和方法,主要包括RtL综合、时序约束、时序优化、可测性设计等内容。

3)iC后端设计基础实践:依托Synopsys公司数字集成电路后端设计平台iCCompiler,使学员通过上机演练,初步掌握使用iCCompiler进行集成电路后端设计的流程和方法,主要包括后端设计准备、版图规划与电源规划、物理综合与全局优化、时钟树综合、布线操作、物理验证与最终优化等内容。灵活的考核评价机制

1)iC设计基本知识笔试:通过闭卷考试的方式,考查学员队iC设计的一些基本知识,如基本概念、基本设计流程、简单的代码编写等。

2)iC设计上机实践操作:通过上机操作的形式,给定一个具体并相对简单的芯片设计代码,要求学员使用Synopsys公司数字集成电路设计前后端平台,完成整个芯片的前后端设计和验证流程。

3)iC设计相关领域报告:通过撰写报告的形式,要求学员查阅iC设计领域的相关技术文献,包括该领域的前沿研究技术、设计流程中相关技术点的深入研究、集成电路设计领域的发展历程和趋势等,撰写相应的专题报告。

3结语

集成电路原理与设计篇3

关键词:集成电路;反向工程;知识产权;布图设计

intellectualpropertyResearch

onReverseengineeringofintegratedCircuit

YUpeng,DUJiao,YoUtao,XieXue-jun

(ministryofindustryandinformationtechnologySoftwareandintegratedCircuitpromotionCenter;

CSipintellectualpropertyexpertiseCenterofJudicature,Beijing100038,China)

abstract:thispaperfocusedontheipRissuesaboutReverseengineeringofiC.onthegroundofanalysisoftheseissues,somesuggestionshowtoensurethelegitimacyofReverseengineeringandhowtopreventtheimitationwiththeprotectionofipRwereproposed.

Keywords:integratedCircuit;Reverseengineering;intellectualproperty;layoutdesign

1引言

反向工程(Reverseengineering)包括反向分析和反向设计两个阶段。反向分析是指通过对目标产品的结构、功能、工作方式进行系统的分析和研究得到有价值的技术信息。反向设计是指在获取的技术信息基础上,设计出功能相近,但又不完全一样的产品的过程。集成电路反向工程通常做法是,利用物理、化学方法逐层解剖芯片,进行拍照和检测,分析芯片内的器件和电路结构,在充分了解原芯片关键技术原理的基础上,重新设计出功能相同或相似的集成电路产品。

利用反向工程设计集成电路和直接复制是两种不同的行为。直接复制是对原芯片的集成电路布图设计进行直接复制和简单修改,从而制造类似的兼容芯片,直接复制在技术上没有创新;而反向工程则通常需要进行重新设计,往往在技术上会有所创新。intel的法律顾问Jr.thomasDunlap曾在美国国会上作证:如果开发一种新芯片要花三至三年半的时间,用反向工程重新设计要花一至一年半的时间,而直接复制只需花三至五个月的时间。因此反向工程并不过分违背公平竞争原则。

并且由于竞争者通过反向工程对现有的集成电路产品进行分析、研究,能够更容易、更迅速地生产出功能相近、技术更加进步的集成电路,从而提供芯片的“第二供货来源”,促进整个集成电路产业的发展进步。所以在行业内,反向工程一直被认为是一种可以接受的行为。目前模拟电路设计工程师经常使用反向工程这一手段进行产品开发,数字电路设计工程师也应用反向分析来获取优秀设计的思想和经验【1】。

然而,反向工程毕竟是在对原有芯片关键技术进行分析的基础上进行的重新设计,有模仿的成分,所以反向工程在带来上述好处的同时,也容易在实践中引发知识产权争议。本文将逐一分析集成电路反向工程涉及的知识产权问题和应对方法。

2商业秘密

根据中国《反不正当竞争法》的规定,“商业秘密,是指不为公众所知悉、能为权利人带来经济利益、具有实用性并经权利人采取保密措施的技术信息和经营信息。”如果集成电路设计公司已经将研发资料、成果作为商业秘密进行管理和保护,通过反向工程获取该公司所研发芯片中属于商业秘密的技术信息,是否会有侵犯该公司商业秘密的风险?

根据我国最高人民法院颁布的《关于审理不正当竞争民事案件应用法律若干问题的解释》第十二条第一款的规定:通过反向工程等方式获得的商业秘密不认定为是以不正当手段获取权利人商业秘密。并且由于商业秘密的所有者对商业秘密信息没有排他性占有或使用的权利,只有错误获得、使用或揭露商业秘密才属侵权。所以不仅采用合法的反向工程方式获取集成电路设计是合法获取竞争对手技术秘密的重要手段,而且使用该信息进行设计生产也是合法的。

但需要注意的是,法律进一步对什么是“合法的反向工程”进行了定义。根据上述司法解释十二条第二款的规定:“前款所称‘反向工程’,是指通过技术手段对从公开渠道取得的产品进行拆卸、测绘、分析等而获得该产品的有关技术信息。当事人以不正当手段知悉了他人的商业秘密之后,又以反向工程为由主张获取行为合法的,不予支持。”在英美,“合法的反向工程”还要求证明“反向工程需付出重要劳动、技术或资金投入”。

因此,集成电路设计者在进行反向工程时,至少需要完成如下工作:

(1)从公开渠道购买产品,并保留发票,以证明产品是从公开渠道取得的。

(2)保留反向工程的过程记录和文档,并注明具体日期。这是因为一般反向工程实施人对反向工程的行为和相关投入有举证责任。

(3)调查参与反向工程人员的工作经历,以确保参与反向工程的人员只能是对该商业秘密权利人没有保密义务的人,例如是否是原集成电路设计公司的前雇员。

另一方面,集成电路产品的原设计者,当遇到涉嫌抄袭的竞争对手声称是通过反向工程设计出竞争产品时,应至少从以下几个角度提出疑问:

(1)竞争对手是否在产品公开出售前就开始进行反向工程。

(2)竞争产品是否出现过快,缺乏合理的反向工程时间。

(3)竞争对手的研发人员是否曾经在本公司工作过或参与过该产品的研发活动。

3集成电路布图设计专有权

集成电路布图设计是指集成电路上元件和互连线路的立体结构(三维配置)。集成电路布图设计专有权主要保护的是“受保护的布图设计的全部或者其中任何具有独创性的部分”的复制权【2】,这里的复制是指“重复制作布图设计或者含有该布图设计的集成电路”。而集成电路反向分析的主要内容之一就是得到原芯片的集成电路布图设计,反向设计则借鉴了原芯片的部分集成电路布图设计,那么这是否有侵犯集成电路布图设计权的风险呢?

中国《集成电路布图设计保护条例》第二十三条第二款规定:“为个人目的或者单纯为评价、分析、研究、教学等目的而复制受保护的布图设计”的行为“可以不经布图设计权利人许可,不向其支付报酬”。所以,以评价、分析、研究为目的进行反向分析是法律许可的行为,不视为侵权。

中国《集成电路布图设计保护条例》第二十三条第三款还规定:“在依据前项评价、分析受保护的布图设计的基础上,创作出具有独创性的布图设计”的行为“可以不经布图设计权利人许可,不向其支付报酬”。所以判断反向设计是否侵犯原集成电路布图设计的标准是看新设计是否具有“独创性”。

集成电路布图设计的“独创性”要同时满足两个条件:(1)布图设计是创作者自己的智力劳动成果,即自己创作;(2)在其创作时该布图设计在布图设计创作者和集成电路制造者中不是公认的常规设计,即非常规设计。对于由常规设计组成的布图设计如果满足上述两个条件,也应认为具备“独创性”。

由于集成电路布图设计权主要保护的是“受保护的布图设计的全部或者其中任何具有独创性的部分”的复制权,所以判断新设计是否具有“独创性”的对比范围应是原布图设计的整体以及原布图设计的独创性部分。

在分析反向设计是否有侵犯原布图设计权的风险时,应当

(1)首先应将新设计与原设计整体进行比较。如果反向设计与原布图设计整体相同或仅进行少量常规设计的修改,则侵权风险较大;而仅与原布图设计部分的常规设计相同并会不构成侵权。

(2)之后应重点比较反向设计所借鉴的原设计具有独创性的那部分布图设计。如果此部分布图设计,反向设计在原布图设计的基础上进行了非常规设计的修改,即所投入的智力劳动具备创造性,则不侵犯原集成电路布图设计专有权。

上述集成电路布图设计侵权判断方法,是笔者对司法鉴定工作实践经验的总结,可以明确的分析判断出两个集成电路布图设计是否实质相似。读者可以根据此方法尝试分析美国的典型集成电路布图设计侵权案例:Brooktreev.advancedmicroDevices和alteraCorporationv.ClearLogic。

2004年以来,我国的集成电路布图设计纠纷也时有发生,如浙江杭州中院受理的(美国)安那络公司诉杭州士兰微电子股份有限公司侵犯集成电路布图设计专有权案、上海一中院受理的(美国)安那络公司诉上海贝岭股份有限公司侵犯集成电路布图设计专有权案、南京中院判决的华润矽威科技诉南京源之峰科技侵犯集成电路布图设计专有权案等。所以在中国,集成电路设计者进行反向工程时需要进行如下工作,以减小相关纠纷风险:

(1)反向工程前检索原集成电路是否进行了布图设计登记、该集成电路是否已经商业利用2年以上。这是由于集成电路布图设计专有权根据登记而产生,并且中国《集成电路布图设计保护条例》规定:需在该布图设计在世界任何地方首次商业利用之日起2年内完结。所以如果能明确集成电路产品上市2年后还未进行布图设计登记,则该布图设计在中国不受保护。

(2)如果检索到原集成电路进行了布图设计登记,则在反向设计过程中要注意满足“独创性”要求,并且在反向设计完成时应进行侵权风险评估。

而作为原集成电路设计者,为防止竞争对手通过反向工程设计竞争产品,应在产品上市之前就及时进行集成电路布图设计登记。

4著作权

集成电路布图设计是一种三维图形配置,如果集成电路布图设计没有进行登记,而著作权随作品的创作完成而自动产生不需要进行登记,那集成电路布图设计是否能获得著作权的保护?集成电路反向工程会导致侵犯著作权的风险吗?答案是反向工程设计或直接复制芯片生产本身都不会有侵犯著作权的风险。

这是因为集成电路布图设计的拓扑结构隐藏在芯片内部,一般消费者无法目睹,故集成电路布图不具备作品的欣赏价值,只具备工业实用价值。根据著作权法的“实用物品原则”,如果作品属于实用物品,著作权法对它的保护只能及于该实用物品上不具有实用性质且可独立存在的图形设计,而不能及于该实用物品的实用性质方面。该原则目前已得到了国际知识产权界的普遍认同。因此集成电路布图设计不受著作权法的保护,而是由专门的工业产权“集成电路布图设计专有权”进行保护。

集成电路布图设计和印刷线路板都是完成电路功能的实用物品,它们在著作权上面临的情况类似。上海迪比特实业有限公司诉摩托罗拉(中国)电子有限公司印刷线路板著作权侵权案的判决可以说明这个问题。在判决书中,法院认为印刷线路板设计图属于图形作品,应受著作权法保护,他人未经著作权人许可,不得复制、发行印刷线路板设计图。但印刷线路板本身属于一种具有实用功能的工业产品,已经超出了文学、艺术和科学作品的保护范围,因此不属于著作权法保护的客体。被告摩托罗拉公司按照印刷线路板设计图生产印刷线路板的行为,是生产工业产品的行为,而不属于著作权法意义上的复制。因此,原告关于被告摩托罗拉公司在C289手机中擅自复制原告t189手机的印刷线路板设计图的行为构成对原告著作权侵权的主张无法律依据,不予支持。

目前世界各国著作权法的规定和相关司法判例,对于集成电路布图设计或印刷线路板一般都不给予著作权法的保护,仅对于其设计图纸进行著作权保护。所以集成电路布图设计如果要避免被直接复制,就一定要在主要市场国家进行集成电路布图设计登记。反向工程时,要注意不要使用原芯片的说明书,避免著作权纠纷。

5专利权

由于集成电路布图设计只保护集成电路的拓扑结构,竞争对手较易进行规避;而商业秘密无法有效保护已经上市销售集成电路产品的设计。那么如何利用现有的知识产权制度来保护本公司的研发成果呢?作为集成电路原设计者,较好的选择是:除了要对集成电路产品进行集成电路布图设计登记外,还要积极利用专利手段保护自己的智力成果。

这是因为专利保护的是技术方案,可以保护发明人的设计思想。一件关于电路连接关系的专利,其专利保护范围能覆盖许多具体的电路实现,因此反向工程的设计方案即使不侵犯集成电路布图设计,也很可能落入专利的保护范围,这加大了反向工程的难度。

而且专利不仅可以用来保护集成电路的内部电路连接关系,还可以保护器件结构、功能模块连接关系、芯片内的信号处理流程和方法、芯片封装结构和方法等。这样可以通过对集成电路产品形成全面的专利保护网,阻止竞争对手使用反向工程提取设计思想重新进行设计。特别值得注意的是应重视申请集成电路产品的接口电路、接口指令和接口信号处理流程的专利,以防止竞争对手开发出设计不同但兼容的芯片。

另一方面,集成电路反向工程的设计者,要考虑到原芯片设计公司可能已经申请了相关专利,因此在进行反向工程前应按申请人和技术进行专利检索和侵权分析,在反向设计过程中进行专利规避设计,以降低侵权风险。

6反向工程在司法鉴定中的应用

反向工程不仅可以使竞争对手在较短的时间内,以较少的投入,制造更好的竞争产品;而且还可以帮助原设计者证明他人侵犯了自己的专利、技术秘密或者集成电路布图设计。

如果芯片原设计者怀疑竞争对手侵犯了自己的权利,可以委托集成电路领域专业的知识产权司法鉴定机构为其出具司法鉴定意见报告,作为竞争对手侵犯自己权利的证据。通常知识产权司法鉴定机构会委托第三方检测机构(常采用反向工程对嫌疑产品进行分析)获得的检测报告,利用检测报告作为鉴定的依据出具鉴定结论,这样的鉴定结论在法庭上的证明力大于芯片原设计者单方委托检测机构获得的检测报告,而且司法鉴定机构还可以从技术角度判断技术方案是否实质相同。

7总结

综上所述,虽然反向工程本身是集成电路行业一种普遍被接受的行为,但其行为也受到各种知识产权保护的限制;在集成电路反向工程的过程中应注意一系列的知识产权问题,完成相应的知识产权管理工作;而集成电路设计者想要保护自己的设计,避免反向工程对自己产品造成的冲击,也需要从多个方面做好准备,为集成电路产品申请相关专利、登记集成电路布图设计、进行技术秘密保护,从而全面的保护自己的智力劳动成果。

参考资料

[1]集成电路反向工程的法律问题研究.乔晶.清华大学硕士学位论文.2007.4

[2]集成电路布图设计独创性判断法律问题研究.崔哲勇.中国政法大学硕士学位论文.2006.12

[3]反向工程法律问题比较研究.陈晓亮.复旦大学硕士学位论文.2008.10

[4]商业秘密法中反向工程效力研究.郭杰.华东政法大学硕士学位论文.2009.4

[5]集成电路布图设计保护法比较研究.郑胜利.北大知识产权评论

[6]半导体集成电路的知识产权保护.郭禾.中国人民大学学报2004年第一期

[7]集成电路布图设计专有权的撤销.何伦健.专利法研究

[8]集成电路芯片专利侵权纠纷案件中的侵权判定.游涛.中国集成电路.总第133期.2010.6

[9]半导体产业中集成电路布图设计登记与专利申请研究.骆苏华.半导体行业.2008.6

[10]SemiconductorChipprotectionactof1984:apreliminaryanalysis.wilson,Davidi.,LaBarre,Jamesa..1985

[11]theLawandeconomicsofReverseengineering.pamelaSamuelson.andSuzanneScotchmer.theYaleLawJournal.2002.

[12]patentinfringementdiscoveryinintegratedcircuits:anewapproach.Davidward.省略/whitepaper.pdf

[13]迪比特诉摩托罗拉索赔近亿元.省略/ljfy/mtdj_view.aspx?id=9102

注释

集成电路原理与设计篇4

关键词:电子科学与技术;集成电路设计;平台建设;iC产业

中图分类号:G642文献标志码:a文章编号:1674-9324(2014)08-0270-03

国家教育部于2007年正式启动了高等学校本科教学质量与教学改革工程(简称“质量工程”),其建设的重要内容之一就是使高校培养的理工科学生具有较强的实践动手能力,更好地适应社会和市场的需求[1]。为此,我校作为全国独立学院理事单位于2007年6月通过了iSo2000:9001质量管理体系认证[2],同时确立了“质量立校、人才强校、文化兴校”三大核心战略,深入推进内涵式发展,全面提高人才培养质量。对于质量工程采取了多方面多角度的措施:加强教学改革项目工程;鼓励参加校内学生创新项目立项,(大学生创新基金项目);积极参加国家、省级等电子设计大赛;有针对性地对人才培养方案进行大幅度的调整,增大课程实验学时,实验学时占课程的比例从原来的15%提高到25%以上,并且对实验项目作了改进,提高综合性和设计性实验的比重;同时增加专业实践课程,强调学生的应用能力和创新能力;课程和毕业设计更注重选题来源,题目比以前具有更强的针对性,面向专业,面向本地就业市场。不仅如此,学院还建立了创业孵化中心、建立了实验中心等。通过这些有效的措施,努力提高学生的综合素质、创新和应用能力。除了学校对电子信息类专业整体进行统筹规划和建设外,各个二级学院都以“质量工程”建设为出发点和立足点,从专业工程的角度出发,努力探索各个专业新的发展思路和方向。由于集成电路设计是高校电子科学与技术、微电子学等相关专业的主要方向,因此与之相关的课程和平台建设成为该专业工程探索的重点。通过对当前国内外高校该专业方向培养方案分析,设置的课程主要强调模拟/数字电路方向,相应的课程体系为此服务,人才培养方案设置与之相对应的理论和实践教学体系;同时建立相应的实习、实践教学平台。由此,依据电子科学与技术专业的特点,结合本专业学生的层次和专业面向,同时依据本地的人才需求深度和广度,对以往的人才培养方案进行革新,建立面向中山iC产业的集成电路设计专业应用型的设计平台。另外,从课程体系出发,强化iC设计的模拟集成电路后端版图设计和验证,使学生在实践教学环节中得到实际的训练。通过这些改革既可有效地帮助学生迅速融入iC设计业,也为进入iC制造行业提高层次到新高度。

一、软件设计平台在集成电路设计业的重要性

自从1998年高等学校扩大招生以来,高校规模发展很快,在校大学生的人数比十五年前增长了10倍。高校的基础设施和设备的投入呈现不断增长的趋势,学校的办学条件不断改善,同时,各个高校对实验室的建设也在持续增大,然而在实验室建设的过程中,尽管投入的资金量在不断增大,但出现的现象是重视专业仪器和设备的投入,忽视专业设计软件的购置,这可能是由于长期以来形成的重有形实体、轻无形设计软件,然而这种意识给专业发展必将带来不利影响。对于iC专业来说,该专业主要面向集成电路的生产、测试和设计,其中集成电路设计业是最具活力、最有增长效率的一块,即使是在国际金融危机的2009年,中国的iC设计业不仅没有像半导体行业那样同比下降10%,反而逆势增长9.1%;在2010年,国际金融危机刚刚缓和,中国iC设计业的同比增速又快速攀升到45%;2011年全行业销售额为624.37亿元,2012年比2012年增长8.98%达到680.45亿元,集成电路行业不仅增长速度快,发展前景好,而且可以满足更多的高校学生就业和创业。为了满足iC设计行业的要求,必须建设该行业需求的集成电路软件设计平台。众所周知集成电路行业制造成本相对较高,这就要求设计人员在设计电路产品时尽量做到一次流片成功,而要实现这种目标需要建设电路设计验证的平台,即集成电路设计专业软件设计平台。通过软件平台可以实现:电路原理拓扑图的构建及参数仿真和优化、针对具体集成电路工艺尺寸生产线的版图设计和验证、对版图设计的实际性能进行仿真并与电路原理图仿真对照、提供给制造厂商具体的GDSii版图文件。软件平台实际上已经达到验证的目的,因此,对于集成电路设计专业的学生或工作人员来说,软件设计平台的建设特别重要,如果没有软件设计平台也就无法培养出真正的iC设计人才。因此,在培养具有专业特色的应用型人才的号召下,学院不断加大实验室建设[3],从电子科学与技术专业角度出发,建设iC软件设计平台,为本地区域发展和行业发展服务。

二、建设面向中山本地市场iC应用平台

近年来,学校从自身建设的实际情况出发,减少因实验经费紧张带来的困境,积极推动学院集成电路设计专业方向的人才培养。教学单位根据集成电路设计的模块特点确定合适的软件设计平台,原理拓扑图的前端电路仿真采用pSpiCe软件工具,熟悉电路仿真优化过程;后端采用L-eDit版图软件工具,应用实际生产厂家的双极或CmoS工艺线来设计电路的版图,并进行版图验证。这种处理方法虽然暂时性解决前端和后端电路及版图仿真的问题,但与真正的系统设计集成电路相对出入较大,不利于形成iC的系统设计能力。2010年12月国家集成电路设计深圳产业化基地中山园区成立,该园区对集成电路设计人才的要求变得非常迫切,客观上推进了学院对iC产业的人才培养力度,建立面向中山iC产业的专业应用型设计平台变得刻不容缓[4],同时,新的人才培养方案也应声出台,促进了具有一定深度的教学改革。

1.软件平台建设。从目前集成电路设计软件使用的广泛性和系统性来看,建设面向市场的应用平台,应该是学校所使用的与实际设计公司或其他单位的软件一致,使得所培养的iC设计人才能与将来的就业工作实现无缝对接,从而提高市场对所培养的集成电路设计人才的认可度,同时也可大大提高学生对专业设计的能力和信心[5]。遵循这个原则,选择Cadence软件作为建设平台设计软件,这不仅因为该公司是全球最大的电子设计技术、程序方案服务和设计服务供应商,eDa软件产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,iC综合及布局布线,模拟、混合信号及射频iC设计,全定制集成电路设计,iC物理验证,pCB设计和硬件仿真建模,而且通过大学计划合作,可以大幅度的降低购置软件所需资金,从而从根本上解决学校实验室建设软件费用昂贵的问题。另外,从中山乃至珠三角其他城市的iC行业中,各个单位都普遍采用该系统设计软件,而且选用该软件更有利于刚刚起步的中山集成电路设计,也更加有利于该产业的标准化和专业化,乃至进一步的发展和壮大。

2.针对中山iC产业设计。定位于面向本地产业的iC应用型人才,就必须以中山iC产业为培养特色人才的出发点。中山目前有一批集成电路代工生产和设计的公司,主要有中山市奥泰普微电子有限公司、芯成微电子公司、深电微电子科技有限公司、木林森股份有限公司等,能进行iC设计、工艺制造和测试封装,主要生产功率半导体器件和iC、应用于家电等消费电子、节能照明等。日前奥泰普公司的0.35微米先进工艺生产线预计快速投产,该单位的发展对本地iC人才需求有极大的推动力,推动学生学习微电子专业的积极性,而这些也有力地支持本地iC企业的长远发展。因此,建立面向本地集成电路产业的软件设计平台,有利于专业人才的培养、准确定位,并形成了本地优势和特色。

3.教学实践改革。为了提高人才培养质量,形成专业特色,必须对人才培养方案进行修改。在人才培养方案中通过增加实践教学环节的比例,实验项目中除了原有验证性的实验外、还增加了综合性或设计性的实验,这种变化将有助于学生从被动实验学习到主动实验的综合和设计,提高学生对知识的灵活运用和动手能力,从而为培养应用型的人才打下良好的基础。除此之外,与集成电路代工企业及芯片应用公司建立合作关系。学生在学习期间到这些单位进行在岗实习和培训,可以将所学的专业理论知识应用于实际生产当中去,形成无缝对接;而从单位招聘人才角度上来说,可以节约人力资源培训成本,招到单位真正需要的岗位人才。因此,合作双方在找到相互需求的基础上,形成有效的合作机制。①课程改革。针对独立学院培养应用型人才的特点,除了培养方案上增加多元化教育课程之外,主要是强调实践教学的改革,增加综合实验课程,如:《现代电子技术综合设计》计32学时、《微电子学综合实验》计40学时、《eDa综合实验》为32学时、《集成电路设计实验》为40学时,其相应的课程学时数从以验证性实验为主的16个学时,增加到现在32学时以上的带有综合性或设计性实验的综合实践课程。这种变化不仅是实践教学环节的课时加大,而且是实验项目的改进,也是实践综合能力的增强,有利于学生形成专业应用能力。②与单位联合的iC设计基地。iC设计基地主要立足于两个方面:一是立足于本地iC企业或设计公司;二是立足于iC代工和集成电路设计应用。前者主要利用本地资源就近的优势,学生参观、实习都比较方便,同时也有利于学校与用人单位之间的良好沟通,提高双方的认可度和赞同感。如:中山市奥泰普微电子有限公司、木林森股份有限公司等。后者从生产角度和设计应用出发,带领学生到iC代工企业参观,初步了解集成电路的生产过程,企业的架构、规划和发展远景。也可根据公司的人才需要,选派部分学生到公司在岗实习[6]。如:深圳方正微电子有限公司、广州南科集成电子有限公司等。通过这些方式不仅可以增强学生对专业知识的应用能力,而且有利于学生对iC单位的深入了解,为本校专业应用型人才找到一种行之有效的就业之路。

三、集成电路设计平台的实效性

从2002年创办电子科学与技术专业以来,学校特别重视集成电路相关的实验室建设。从初期的晶体管器件和集成块性能测量,硅片的少子寿命、C-V特性、方阻等测量,发展到探针台的芯片级的性能测试,在此期间为了满足更多的学生实验、兴趣小组和毕业设计的要求,微电子实验室的已经过三次扩张和升级,其建设规模和实验水平得到了大幅度的提升。另外,为培养本科学生集成电路的设计能力,提高应用性能力,学校还建立了集成电路CaD实验室,以电路原理图仿真设计为重点,着重应用L-edit版图软件工具,进行基本的集成电路版图设计及验证,对提升学生集成电路设计应用能力取得了一定的效果。目前,为了大力提高本科教学质量,提升办学水平,重点对实践课程和iC软件设计平台进行了改革。学校开设了专门实践训练课程,如:集成电路设计实验。从以前的16学时课内验证设计实验提升为32学时独立的集成电路设计实验实践课程,内容从以验证为主的实验转变为以设计和综合为主的实验,整体应用设计水平进行了大幅度的提升,有利于培养学生的应用和动手能力。不仅如此,对集成电路的设计软件也进行了升级,从最初的用pspice和Hspice软件进行电路图仿真,L-edit软件工具的后端版图设计,升级为应用系统的专业软件平台设计工具Cadence进行前后端的设计仿真验证等,并采用开放实验室模式,使得学生的系统设计能力得到一定程度的提升,提高了系统认识和项目设计能力。通过iC系统设计软件平台的建设和实践教学课程改革,使得学生对电子科学与技术专业的性质和内容了解更加全面,对专业知识学习的深度和广度也得到进一步提高,从而增强了专业学习的兴趣,提高了自信心。此外,其他专业的学生也开始转到本专业,从事集成电路设计学习,并对集成电路流片产生浓厚的兴趣。除此之外,学生利用自己在外实践实习的机会给学校引进研究性的开发项目,这些都为本专业的发展形成很好的良性循环。在iC设计平台的影响下,本专业继续报考硕士研究生的学生特别多,约占学生比例的45%左右。经过这几年的努力,2003、2004、2005、2006级都有学生在硕士毕业后分别被保送或考上电子科技大学、华南理工大学、复旦大学、香港城市大学的博士。从这些学生的反馈意见了解到,他们对学校在iC设计平台建设评价很高,对他们进一步深造起到了很好的帮助作用。不仅如此,已经毕业在本行业工作的学生也对iC设计平台有很好的评价:通过该软件设计平台不仅熟悉了集成电路设计的工艺库、集成电路工艺流程和相应的工艺参数,而且也熟悉版图的设计,这对于从事iC代工工作起到很好的帮助作用。现在已经有多届毕业的学生在深圳方正微电子公司、中山奥泰普微电子有限公司工作。另外,还有许多学生从事集成电路应用设计工作,主要分布于中山LeD照明产业等。

通过iC软件设计平台建设,配合以实践教学改革,使得学生所学理论知识和实际能力直接与市场实现无缝对接,培养了学生的创新意识和实践动手能力,增强了学生的自信心。另外,利用与企业合作的生产实习,可以使得学生得到更好的工作锻炼,为将来的工作打下良好的基础。实践证明,建设面向中山iC产业的集成电路设计实践教学平台,寻求高校与公司更紧密的新的合作模式,符合我校人才培养发展模式方向,对iC设计专业教学改革,培养满足本地区乃至整个社会的高素质应用型人才,具有特别重要的作用。

参考文献:

[1]许晓琳,易茂祥,王墨林.适应“质量工程”的iC设计实践教学平台建设[J].合肥工业大学学报(社会科学版),2011,25(4):[129-132.

[2]胡志武,金永兴,陈伟平,等.上海海事大学质量管理体系运行的回顾与思考[J].航海教育研究,2009,(1):16-20.

[3]毛建波,易茂祥.微电子学专业实验室建设的探索与实践[J].实验室研究与探索,2005,24(12):118-126.

[4]鞠晨鸣,徐建成.“未来工程师”能力的集中培养大平台建设[J].实验室研究与探索,2010,29(4):158-161.

[5]袁颖,董利民,张万荣.微电子技术实验教学平台的构建[J].电气电子教学学报,2009,(31):115-117.

[6]王瑛.中低技术产业集群中企业产学研合作行为研究[J].中国科技论坛,2011,(9):56-61.

集成电路原理与设计篇5

关键词:太阳光;图像传感器;数字信号处理器(DSp)

中图分类号:tp216文献标识码:a文章编号:1009-3044(2014)16-3933-04

abstract:asunlightdetectingequipmentcircuitisdesignedbasedonDSpinthispaper.accordingpinholeimagingprinciple,usingCmoSimagesensoroV7670capturethesunspotbyDSptmS320LF2407aprocesscontrol,itcancontrolactuatorstoadjusttheanglebetweentheplaneoftheimagingmechanismandthesun'srays.Soitcanmakesolarfullcollection,andachievetheautomatictracking.

Keywords:Sunlight;imagesensor;Digitalsignalprocessor(DSp)

随着不可再生资源的逐渐减少,造成全球能源危机,新能源的开发应运而生。如太阳能以其具有储量的无限性,存在的普遍性,利用的清洁性,利用的经济性等特点成为研究的热点[1]。

但由于太阳能的能源具有不连续性、密度低、空间分布不均的特点,使得收集和利用不方便。为提高设备对太阳能能量的接收效率,太阳光自动跟踪装置应运而生。装置设计原理主要可分为:时钟式、程序控制式、压差式、控放式、光电式和用于天文观测和气象台的太阳跟踪装置几种[2]。

其中,时钟式和程序控制式的跟踪装置电路简单,时钟累计误差大且不能自动消除,跟踪精度较低;压差式和控放式的跟踪装置原理结构较复杂,设计难度大,只能用于单轴跟踪,控制精度低;光电式跟踪装置具有较高的灵敏度,具有相应速度快、噪声低、小型轻量及耐震性的特点容易实现,但不能进行连续跟踪。因此,设计一种全新的太阳光跟踪装置,使之能够兼具电路原理结构简单,跟踪范围广、精度高,且能实时自动跟踪的特点。从而广泛推动太阳能的普及利用。

本文设计了一种基于视觉的太阳光检测装置,与现有的其它方法相比具有直观,方便的优点。根据小孔成像原理,采用CmoS图像传感器oV7670采集太阳光斑,经DSptmS320LF2407a核心处理器控制相关参数设置寄存器变量来实现,并可通过串行通信实现远程监控。对太阳光斑定位的同时控制执行机构适时调整成像机构所在平面与太阳光线的夹角使得太阳能充分采集,实现自动跟踪。该装置对全方位监控系统也有一定的借鉴意义,有着重要的使用价值和良好的应用前景。

1装置组成的工作原理

该装置主要由成像机构、采集控制机构和执行机构组成,工作原理如图1所示。

2装置的成像机构

成像机构:主要包括光学系统、图像传感器部分。

采集太阳光时,利用小孔成像原理,通过小孔及下方的成像机构,将太阳光投影至接收屏上形成光斑图像。接收屏下方另设有图像传感器,常用的有三种:CCD图像传感器、CmoS图像传感器及CiS接触式图像传感器。

CmoS图像传感器以其高集成度、高速、小体积、低成本、低功耗、且单一电源即可驱动等特点在市场占据了大量的份额。虽然它处理的图像质量,如噪声比、分辨率、灵敏度不高,但对于本系统对图像质量要求并不高的环境,再兼顾CmoS图像传感器具有软件可编程控制,可实现直接数字化输出,能够大大降低系统设计的难度,提高系统设计的稳定性和灵活性的优势,最终选用了omniVission公司生产的数字式彩色CmoS图像传感器oV7670。

CmoS图像传感器oV7670初始化流程图如图2所示。

3装置的采集控制与执行机构

采集控制机构:选用ti公司生产的型号为tmS320LF2407a的专用于控制的DSp数字信号处理器作为系统的核心处理器。

本系统由DSp控制,主要完成图像的采集和处理部分。接收屏上的太阳光斑图像信息,通过CmoS图像传感器输出为数字信号,DSp采集这些数字信息进行处理,得到太阳光斑在接收屏上的位置坐标,并保存接收屏的图像数据,通过串口与pC机通信,将接受屏的太阳光斑图像、此时的太阳高度角、方位角在显示器上全部显示出来。

3.1图像采集系统

图像采集系统如图3所示,是由CmoS图像传感器oV7670,数据隔离器74LVCH16245及DSp数字信号处理器tmS320LF2407a三部分组成。由于oV7670没有片选端,且不具有三态输出,因此在将oV7670采集到的太阳光斑图像存入到DSp外部Ram时,容易产生数据线的总线竞争,为了避免这个问题,在oV7670与DSp之间加了一个数据隔离芯片,型号是philips公司生产的74LVCH16245。

3.2图像处理系统

图像处理系统如图4所示,由DSp电路和DSp存储空间设计两部分组成。

1)DSp电路

DSp电路的设计主要包括复位电路、pLL锁相环电路、电源管理电路、信号隔离电路、JtaG扫描仿真口、外扩存储器电路及SCi通信模块电路。

具体电路连接图如图5所示。

本装置的执行机构主要由控制机构控制电机的转动进行太阳光线的跟踪。

4结论

由DSp采集、处理、识别检测到的太阳的高度角和方位角判断成像机构所在平面是否与太阳光线始终保持垂直夹角,若有偏差,系统控制电路发出控制信号给步进电机,由步进电机带动整个执行机构调整偏转角度,实现成像机构对太阳高度角和方位角的实时跟踪。

参考文献:

[1]郑飞.碟式太阳能热发电跟踪机构电路优化设计和实现[D].北京:中国科学院,2003.

集成电路原理与设计篇6

关键词:ip技术模拟集成电路流程

中图分类号:tp3文献标识码:a文章编号:1674-098X(2013)03(b)-00-02

1模拟集成电路设计的意义

当前以信息技术为代表的高新技术突飞猛进。以信息产业发展水平为主要特征的综合国力竞争日趋激烈,集成电路(iC,integratedcircuit)作为当今信息时代的核心技术产品,其在国民经济建设、国防建设以及人类日常生活的重要性已经不言

而喻。

集成电路技术的发展经历了若干发展阶段。20世纪50年代末发展起来的属小规模集成电路(SSi),集成度仅100个元件;60年展的是中规模集成电路(mSi),集成度为1000个元件;70年代又发展了大规模集成电路,集成度大于1000个元件;70年代末进一步发展了超大规模集成电路(LSi),集成度在105个元件;80年代更进一步发展了特大规模集成电路,集成度比VLSi又提高了一个数量级,达到106个元件以上。这些飞跃主要集中在数字领域。

(1)自然界信号的处理:自然界的产生的信号,至少在宏观上是模拟量。高品质麦克风接收乐队声音时输出电压幅值从几微伏变化到几百微伏。视频照相机中的光电池的电流低达每毫秒几个电子。地震仪传感器产生的输出电压的范围从地球微小振动时的几微伏到强烈地震时的几百毫伏。由于所有这些信号都必须在数字领域进行多方面的处理,所以我们看到,每个这样的系统都要包含一个模一数转换器(aD,C)。

(2)数字通信:由于不同系统产生的二进制数据往往要传输很长的距离。一个高速的二进制数据流在通过一个很长的电缆后,信号会衰减和失真,为了改善通信质量,系统可以输入多电平信号,而不是二进制信号。现代通信系统中广泛采用多电平信号,这样,在发射器中需要数一模转换器(DaC)把组合的二进制数据转换为多电平信号,而在接收器中需要使用模一数转换器(aDC)以确定所传输的电平。

(3)磁盘驱动电子学计算机硬盘中的数据采用磁性原理以二进制形式存储。然而,当数据被磁头读取并转换为电信号时,为了进一步的处理,信号需要被放大、滤波和数字化。

(4)无线接收器:射频接收器的天线接收到的信号,其幅度只有几微伏,而中心频率达到几GHz。此外,信号伴随很大的干扰,因此接收器在放大低电平信号时必须具有极小噪声、工作在高频并能抑制大的有害分量。这些都对模拟设计有很大的挑战性。

(5)传感器:机械的、电的和光学的传感器在我们的生活中起着重要的作用。例如,视频照相机装有一个光敏二极管阵列,以将像点转换为电流;超声系统使用声音传感器产生一个与超声波形幅度成一定比例的电压。放大、滤波和a/D转换在这些应用中都是基本的功能。

(6)微处理器和存储器:大量模拟电路设计专家参与了现代的微处理器和存储器的设计。许多涉及到大规模芯片内部或不同芯片之间的数据和时钟的分布和时序的问题要求将高速信号作为模拟波形处理。而且芯片上信号间和电源间互连中的非理想性以及封装寄生参数要求对模拟电路设计有一个完整的理解。半导体存储器广泛使用的高速/读出放大器0也不可避免地要涉及到许多模拟技术。因此人们经常说高速数字电路设计实际上是模拟电路的

设计。

2模拟集成电路设计流程概念

在集成电路工艺发展和市场需求的推动下,系统芯片SoC和ip技术越来越成为iC业界广泛关注的焦点。随着集成技术的不断发展和集成度的迅速提高,集成电路芯片的设计工作越来越复杂,因而急需在设计方法和设计工具这两方面有一个大的变革,这就是人们经常谈论的设计革命。各种计算机辅助工具及设计方法学的诞生正是为了适应这样的要求。

一方面,面市时间的压力和新的工艺技术的发展允许更高的集成度,使得设计向更高的抽象层次发展,只有这样才能解决设计复杂度越来越高的问题。数字集成电路的发展证明了这一点:它很快的从基于单元的设计发展到基于模块、ip和ip复用的

设计。

另一方面,工艺尺寸的缩短使得设计向相反的方向发展:由于物理效应对电路的影响越来越大,这就要求在设计中考虑更低层次的细节问题。器件数目的增多、信号完整性、电子迁移和功耗分析等问题的出现使得设计日益复杂。

3模拟集成电路设计流程

3.1模拟集成电路设计系统环境

集成电路的设计由于必须通过计算机辅助完成整个过程,所以对软件和硬件配置都有较高的要求。

(1)模拟集成电路设计eDa工具种类及其举例

设计资料库―CadenceDesignFramework11

电路编辑软件―texteditor/Schematiceditor

电路模拟软件―Spectre,HSpiCe,nanosim

版图编辑软件―Cadencevirtuoso,Laker

物理验证软件―Diva,Dracula,Calibre,Hercules

(2)系统环境

工作站环境;Unix-Based作业系统;由于eDa软件的运行和数据的保存需要稳定的计算机环境,所以集成电路的设计通常采用Unix-Based的作业系统,如图1所示的工作站系统。现在的集成电路设计都是团队协作完成的,甚至工程师们在不同的地点进行远程协作设计。eDa软件、工作站系统的资源合理配置和数据库的有效管理将是集成电路设计得以完成的重要保障。

3.2模拟集成电路设计流程概述

根据处理信号类型的不同,集成电路一般可以分为数字电路、模拟电路和数模混合集成电路,它们的设计方法和设计流程是不同的,在这部分和以后的章节中我们将着重讲述模拟集成电路的设计方法和流程。模拟集成电路设计是一种创造性的过程,它通过电路来实现设计目标,与电路分析刚好相反。电路的分析是一个由电路作为起点去发现其特性的过程。电路的综合或者设计则是从一套期望的性能参数开始去寻找一个令人满意的电路,对于一个设计问题,解决方案可能不是唯一的,这样就给予了设计者去创造的机会。

模拟集成电路设计包括若干个阶段,设计模拟集成电路一般的过程。

(l)系统规格定义;(2)电路设计;(3)电路模拟;(4)版图实现;(5)物理验证;(6)参数提取后仿真;(7)可靠性分析;(8)芯片制造;(9)测试。

除了制造阶段外,设计师应对其余各阶段负责。设计流程从一个设计构思开始,明确设计要求和进行综合设计。为了确认设计的正确性,设计师要应用模拟方法评估电路的性能。

这时可能要根据模拟结果对电路作进一步改进,反复进行综合和模拟。一旦电路性能的模拟结果能满足设计要求就进行另一个主要设计工作―电路的几何描述(版图设计)。版图完成并经过物理验证后需要将布局、布线形成的寄生效应考虑进去再次进行计算机模拟。如果模拟结果也满足设计要求就可以进行制造了。

3.3模拟集成电路设计流程分述

(1)系统规格定义

这个阶段系统工程师把整个系统和其子系统看成是一个个只有输入输出关系的/黑盒子,不仅要对其中每一个进行功能定义,而且还要提出时序、功耗、面积、信噪比等性能参数的范围要求。

(2)电路设计

根据设计要求,首先要选择合适的工艺制程;然后合理的构架系统,例如并行的还是串行的,差分的还是单端的;依照架构来决定元件的组合,例如,电流镜类型还是补偿类型;根据交、直流参数决定晶体管工作偏置点和晶体管大小;依环境估计负载形态和负载值。由于模拟集成电路的复杂性和变化的多样性,目前还没有eDa厂商能够提供完全解决模拟集成电路设计自动化的工具,此环节基本上通过手工计算来完成的。

(3)电路模拟

设计工程师必须确认设计是正确的,为此要基于晶体管模型,借助eDa工具进行电路性能的评估,分析。在这个阶段要依据电路仿真结果来修改晶体管参数;依制程参数的变异来确定电路工作的区间和限制;验证环境因素的变化对电路性能的影响;最后还要通过仿真结果指导下一步的版图实现,例如,版图对称性要求,电源线的宽度。

(4)版图实现

电路的设计及模拟决定电路的组成及相关参数,但并不能直接送往晶圆代工厂进行制作。设计工程师需提供集成电路的物理几何描述称为版图。这个环节就是要把设计的电路转换为图形描述格式。模拟集成电路通常是以全定制方法进行手工的版图设计。在设计过程中需要考虑设计规则、匹配性、噪声、串扰、寄生效应、防门锁等对电路性能和可制造性的影响。虽然现在出现了许多高级的全定制辅助设计方法,仍然无法保证手工设计对版图布局和各种效应的考虑全面性。

(5)物理验证

版图的设计是否满足晶圆代工厂的制造可靠性需求?从电路转换到版图是否引入了新的错误?物理验证阶段将通过设计规则检查(DRC,DesignRuleCheek)和版图网表与电路原理图的比对(VLS,LayoutVersusschematic)解决上述的两类验证问题。几何规则检查用于保证版图在工艺上的可实现性。它以给定的设计规则为标准,对最小线宽、最小图形间距、孔尺寸、栅和源漏区的最小交叠面积等工艺限制进行检查。版图网表与电路原理图的比对用来保证版图的设计与其电路设计的匹配。VLS工具从版图中提取包含电气连接属性和尺寸大小的电路网表,然后与原理图得到的网表进行比较,检查两者是否一致。

参考文献

集成电路原理与设计篇7

关键词数字集成电路;频率计;逻辑控制;闸门

中图分类号:tn79文献标识码:a文章编号:1671-7597(2013)15-0037-01

在电子信息领域,信号频率的测量非常广泛,并且与许多电参量的测量有密切的关系。在仪器仪表、资源勘探等相关应用中,频率计是工程人员必不可少的测量工具。频率测量的方法有许多,其中数字计数器测量频率具有测量快、精度高、成本低等优点,是频率测量的重要应用之一。本文便通过对时基单元电路、分频单元电路、整形单元电路、延时清零单元电路等的整合运用,设计制作一款简单实用的数字频率计,并对具体单元电路进行分析。

1频率计的工作原理

被测信号经输入端输入,经过放大整形后,通过主控电路,时基信号的下降沿到来时,控制电路主控门打开,tx2周期内允许待测信号通过主控电路到达脉冲计数电路进行计数,待测信号的频率就是单位时间(1s)内的得到的脉冲数,表达式为f=n/tx2,其中f为被测信号的频率,n为计数器读得的脉冲数,tx2是时基信号的周期,tx2可由分频电路的开关获得,在时基信号的下一个下降沿到来时,主控门关闭,主控电路发出锁存信号锁存当前读得脉冲值,显示电路随即显示读得脉冲值,即测得信号频率。延时一段时间后,清零电路清零输出脉冲,将各计数器、触发器复位,准备下次测量。频率计的工作原理如图1所示。

2系统硬件设计

2.1放大整形电路的设计

放大整形电路单元主要由集成电路CC4011和运算放大器op07构成。op07构成的负反馈放大电路将微小的待测信号放大到能被数字集成电路有效识别的水平,再通过由与非门4011构成的斯密特触发器,整形得到方波脉冲。

2.2时基电路的设计

时基电路单元主要由集成电路5G5544、32768Hz的晶体振荡器构成和微调电容等元件构成,自激工作,经调整后,可获得周期为1s的精确方波信号。

2.3分频电路的设计

分频电路单元主要由2片集成电路CC4518组成。4518是二-十进制同步计数器,计数器级联,上级计数器计满溢出后,通过下级计数器对时基信号进行10分频计数,4个计数器即可对时基信号进行1、10、100、1000分频,以确定测量周期tx2,即切换频率计的量程。

2.4主控电路的设计

主控电路单元由双D触发器CC4013和与非门CC4011构成。4013控制闸门选通信号,以控制主控门的开启/关闭。时基信号下降沿到达控制门1后,控制门1输出上升沿到4013(1)的Cp端,使得4013(1)的Q1=1,主控门2开启,被测信号在主控门开启的时间内,可以通过主控门2送至计数器CLK端进行计数,一个测量周期tx2后,时基信号再次到来的下降沿使主控门关闭,计数器停止计数,同时1使4013(2)的2=0,关闭控制门1,封锁时基信号,完成一次测量过程的控制。主控电路的原理图如图2所示。

2.5延时清零电路的设计

延时清零电路单元由D触发器CC4013、非门CC4069、积分电路、单稳态电路等构成。Cp在上升沿的作用下,4013翻转,=0,电路清零时,又将Q置0,=1,二极管迅速将电容充电,使电容一端达到高电平,而此时=0,电容通过电位器缓慢放电,一段时间后,电容一端电压降到4069的阈值电平,4069输出端产生上升沿,触发下级单稳态电路,单稳态电路随即产生正脉冲送到由4001构成的自动清零电路,将各计数器、触发器复位,等待下次测量。选择合适大小的R、C,使清零脉冲在锁存脉冲后沿产生,且宽度充分窄,在不影响测量精度的前提下又可以触发清零电路。

2.6脉冲计数电路的设计

脉冲计数电路单元由6片74LS160级联构成。74LS160是同步十进制计数器,通过主控电路的脉冲信号输入到74LS160的脉冲计数端,在74LS160给定的计数周期内,对计得的脉冲个数进行量程转换后,即可得到待测信号的频率。

2.7显示电路的设计

显示电路单元由6片CC4511和6只共阴极数码管构成。4511是一种BCD码译码器,可直接驱动6位共阴极数码管,6位分别表示个位、十位、百位、千位、万位、十万位,可测量1Hz~1mHz的频率。

3结论

数字频率计是一种测量方波信号、正弦信号以及其他各种信号的频率仪器,在电路设计中广泛被应用。本文介绍的一种基于数字集成电路的频率计的设计方法,电路设计具有功能齐全、结构简单、成本较低、使用方便等优点。该频率计通过仿真、制作、误差分析等验证,可在多种测试场所广泛应用。

参考文献

[1]王伟明.数字频率计电路设计与分析[J].电子世界,2013.

[2]刘夫江.基于单片机和CpLD的等精度数字频率计设计[J].山东大学,2007.

[3]董玉冰.基于multisim9.0简易数字频率计的设计与仿真[J].长春大学学报,2009.

[4]朱东南,等.基于CD4541的便携式数字频率计的设计[J].兰州工业学院学报,2013.

[5]刘文浩,等.CmoS数字频率计的设计与制作[J].莱阳农学院学报,1989.

[6]王昊鹏,等.简易数字频率计设计与实现,四川兵工学报,2011.

集成电路原理与设计篇8

 

1.引言

 

《数字电子技术》是高等学校通信工程、电子信息工程、自动化、电气工程及自动化等专业的重要专业基础课程[1]。随着数字应用电子技术、数字系统的高速发展,以FpGa(FieldprogrammableGatearray)和CpLD(ComplexprogrammableLogicDevice)为代表的大规模可编程逻辑器件(programmableLogicDevice,pLD)的广泛应用,使传统“板上数字系统”被“片上数字系统”替代[2]。为适应数字电子技术的发展趋势,对传统《数字电子技术》教材内容进行了改革,在教材内容的安排和例题选用上,立足于应用型人才培养,以现代信息技术为依托,注重理论联系实际,取得较好的应用效果。

 

2.教材改革的基本思路

 

随着数字电子技术的快速发展,如何处理数字电子技术的经典内容与现代内容、传统分析设计方法与现代分析设计方法之间的关系,是教材内容改革的重点。教材以“基础知识器件原理器件应用器件仿真系统构建系统仿真”为主线,构建数字系统的知识框架。在教材内容组织上,将数字电子技术和数字系统有关知识融为一体,系统介绍数字电子技术与数字系统的基本分析方法和设计方法;在教材内容编写上,以培养学生的应用能力和实践能力为目的,采用案例式或项目式编写思路,将理论知识和实际应用相结合,把突出知识的应用性和实践性作为主要方向,做到理论和实践并重,既强调理论基础,又突出应用性。对于集成电路注重逻辑功能和使用方法介绍,增加eDa(electronicDesignautomation)技术基础知识[3],利用multisim软件对部分电路进行功能仿真,并介绍VHDL语言、QuartusⅡ软件的基本使用方法,利用VHDL语言设计部分数字电路,利用QuartusⅡ软件进行仿真分析,适应现代电子技术飞速发展和应用的需要。

 

3.教材的主要特点

 

3.1教材内容组织

 

按照教育部高等学校电子信息科学与电气信息类基础课程教学指导委员会对《数字电子技术基础》课程教学的基本要求,对《数字电子技术》教材内容进行重新组织,将教材内容分为十章[4]。第一章介绍逻辑代数的基础知识,主要包括各种数制、常用的编码规则、逻辑代数的基本定理、逻辑函数的表示方法和化简方法等。第二章介绍eDa技术的基础知识,包括multisim、VHDL语言、QuartusⅡ的基础知识。第三章介绍分立门电路、集成门电路和可编程逻辑器件的特点,并介绍利用VHDL语言设计门电路的方法。第四章首先介绍组合逻辑电路的基础知识,然后讲解组合逻辑电路的应用,最后利用multisim对组合逻辑电路进行功能仿真和设计分析,并介绍组合逻辑电路的VHDL语言设计方法。第五章介绍各种触发器的功能和应用,并利用multisim对触发器进行功能仿真,介绍触发器的VHDL语言设计方法。第六章介绍时序逻辑电路的分析方法和设计方法,介绍常用时序逻辑电路的功能和应用,并分别利用VHDL语言和multisim进行功能描述和仿真。第七章介绍脉冲波形的产生与整形电路,重点介绍集成电路的应用。第八章介绍半导体存储器的特点和应用。第九章介绍a/D转换和D/a转换的工作原理和主要技术指标,对集成DaC和aDC的基础知识及应用进行简单介绍,并利用multisim对基本转换电路进行功能仿真。第十章介绍数字系统设计的基本流程,通过3个实例介绍数字系统的不同设计方法。

 

3.2强调基础理论

 

随着数字电子技术的发展,数字电子技术已逐渐渗透到各个行业,《数字电子技术》课程作为高校电类专业的基础课程,是学生走向数字化时代的第一门课程,也是某些高校相关专业的考研课程,其重要性不言而喻。教材编写强调《数字电子技术》基础知识的系统性、完整性,将逻辑代数基础、组合逻辑电路分析与设计、时序逻辑电路的分析与设计等基础知识作为教材核心内容,并结合部分高校相关专业《数字电子技术》研究生考试大纲的要求,增加部分教学内容。例如,在第六章“时序逻辑电路”中增加利用观察法和隐含表法进行状态化简的内容,使学生能够更容易掌握时序逻辑电路的传统设计方法。

 

在教材内容编排上,反复训练基础理论知识,使学生更好地学习并掌握基础理论知识,为进一步学习打下坚实的基础。例如,第四章“组合逻辑电路”首先介绍组合逻辑电路的分析方法和设计方法,然后介绍常用集成组合逻辑电路的原理和应用,其中译码器、数值比较器按照组合逻辑电路的分析方法进行阐述,编码器、数据选择器、加法器按照组合逻辑电路的设计方法阐述,使教材内容循序渐进、深入浅出,适用于学生自学,有利于培养学生自主学习能力。

 

3.3突出实践应用

 

在教材编写过程中,注重学生对知识应用能力培养的需要,强调具体操作过程中学习理论基础,将知识应用能力培养贯穿整本教材,突出教材知识的实践应用性。在介绍集成电路时,删除集成电路内部电路的分析,强调集成电路的逻辑功能和使用方法[5],例如,介绍555定时器时,在简单介绍555定时器的电路结构和工作原理的基础上,以“触摸式定时控制开关电路”、“双音门铃电路”等应用电路介绍555定时器的使用方法。

 

在第九章“数/模和模/数转换器”中,以DaC0808、DaC0832、aD7543为例介绍常用集成数/模转换器的工作原理和使用方法,并分别给出DaC0832、aD7543与单片机at89C51的接口电路,既加强与后续课程单片机、微机原理等的联系[6],又突出教材内容的应用性。3.4增加eDa技术知识

 

eDa是电子设计自动化(electronicDesignautomation)的缩写,是从计算机辅助设计(CaD)、计算机辅助制造(Cam)、计算机辅助测试(Cat)和计算机辅助工程(Cae)的概念发展而来的。教材第二章eDa技术基础知识介绍了multisim和QuartusⅡ两种eDa工具的操作界面和使用方法,并介绍了VHDL语言的基本结构、数据对象、数据结构、操作符和基本语句结构,使学生借助eDa工具进行电路分析和设计。教材给出了74LS138、74LS153、74LS194、74LS160等常用集成电路的multisim仿真电路和VHDL描述方法,并在第十章“数字系统设计”中,以“计数报警器”、“简易交通灯控制器”、“函数信号发生器”为例,结合multisim和QuartusⅡ软件,详细介绍简单数字系统的设计过程,丰富教材内容。

 

4.结语

 

《数字电子技术》教材改革是一项长期工程,随着数字电子技术的发展,必将对教材内容产生深刻影响。本教材于2012年10月由北京大学出版社作为“21世纪全国本科院校电气信息类创新型应用人才培养规划教材”出版,2013年12月被评为河南省“十二五”普通高等教育规划教材。教材经过3年多的使用,得到了广大师生的关注,收集了各方面建议和意见。为了更好地适应现代数字电子技术的发展和应用,需要对教材内容进行进一步改革。

集成电路原理与设计篇9

本届年会以“创新与做精做强”为主题,突出集成电路设计及其产业化,强调产品自主创新和精品意识,倡导行业上下游合作和国内外合作。会议得到了国家、省市政府相关部门的大力支持,出席高峰论坛的主要嘉宾有工业和信息化部电子信息司丁文武副司长、规划司规划处霍振武处长,国家发改委高技术产业司新兴产业一处伍浩处长,科技部高新技术发展及产业化司信息与空间处王春恒处长,美国工程院院士、中国科学院外籍院士�支唐教授,台湾工研院指导委员会主席虞华年教授,台湾工研院董事长、台湾清华大学科技管理学院院长史钦泰先生,全球半导体产业联盟、GSa亚太区领袖议会委员谢叔亮博士,中国半导体行业协会理事长江上舟先生以及厦门市人民政府叶重耕副市长、政协副主席江曙霞女士。“核高基”科技重大专项总体专家组及高端通用芯片实施专家组成员、国内外有关专家、国家集成电路设计产业化基地代表、集成电路设计企业及ip服务厂商、eDa厂商、晶圆代工厂商、封装测试厂商、系统厂商、风险投资公司和有关媒体代表共700余人参加了会议。

高峰论坛―主题鲜明、高瞻远瞩

12月2日上午,大会开幕式在热烈的氛围中隆重召开,由厦门市科技局徐平东副局长主持,中国半导体行业协会江上舟理事长为大会开幕式致词,他指出,2008年受国际金融危机的影响,全球半导体产业出现了大幅下滑,中国的集成电路产业也首次出现了0.4%的负增长,在此情况下,我国的iC设计业却保持了较好的增长。根据中国半导体行业协会的跟踪统计,设计业在2009年前三季度的销售收入同比增长10.2%,这表明国内半导体产业正在逐步走出低谷。

本届年会以“创新与做精做强”为主题,工业和信信息化部电子信息司丁文武副司长认为这是当今形势下很好的一个会议主题,他对大会的胜利召开表示祝贺,并对iC设计年会历年来的成功举办给予了充分肯定。他表示,“十五”期间,在国家有关政策的扶持以及业界同仁的共同努力下,我国的设计业取得了快速发展,但与发达国家相比还存在很大的差距,具体表现为产业规模小、创新能力弱、高端人才缺乏。在金融危机的影响下,全球的集成电路产业格局正在进行较大调整,这将为我国的iC设计企业提供一个新的发展契机,我们应该充分利用两化融合这个平台,把握3G移动通信、数字电视、计算机和网络以及信息安全市场的不断繁荣给我们带来的巨大市场空间和产品空间。

为重点支持我国集成电路设计业的快速发展,工信部将努力做好以下四项工作:一是要优先发展iC设计业,重点支持量大、面广的产品开发和产业化推进,形成一批具有核心技术的企业和具有自主知识产权的产品;二是进一步支持企业做大做强,继续推动国内企业通过技术改造、企业间的联合和合作的方式来培育具有国际竞争力的企业,来提高企业的集成度;三是要强化自主创新能力的建设,抓好“核高基”重大专项的组织实施,通过国家重大专项来提升我国集成电路产业,尤其是设计业的市场竞争力与自主创新能力。鼓励产学研结合,在技术创新的同时,探索机制和体制的创新,同时做好电子信息产业发展基金和集成电路研发专项的组织实施;四是要努力为iC设计企业的发展提供良好的政策环境和法制环境,在原18号文的基础上,目前正在加紧制定进一步鼓励软件和集成电路产业发展的延续政策,同时还要努力加强集成电路公共平台的建设。

中国半导体行业协会集成电路设计分会王芹生理事长为大会做了题为“沉着应对挑战,积极主动调整,努力再创辉煌”的主旨报告。她指出,21世纪是信息产业大有可为的时代,是集成电路技术面临新的革命的时代。中国的集成电路设计业是一个植根于本土的产业,是一个拥有庞大市场需求的产业,是一个有所作为、也应该有更大作为的产业。针对产业现状以及新兴市场应用,王芹生理事长为集成电路设计企业在以后的发展提出了几点建议:一、要勇于创新,这不仅仅是技术的创新,更要注重技术加产品加品牌加商路的综合创新;二、应用是创新的重要推动力;三、要特别重视“应用专利”;四、企业做强优于做大;五、要努力打造产业经济链;六、要充分利用国家的政策和专项资金加速设计企业做强做大的步伐。王理事长富有激情的报告感染了会场的每一位与会代表,给予了行业同仁极大的鼓舞,并为我国iC设计业今后的发展指明了方向。

全球最大的晶圆代工企业台积电,全球三大eDa软件供应商SYnopSYS、CaDenCe和mentor,国内著名设计服务企业芯原微电子,国内知名芯片设计企业同方微电子以及知名的整机企业万利达等企业的高管围绕产业现状、机遇与挑战、调整与创新、合作与共赢等相关议题,和与会代表分享了各自的观点。

专题论坛―内容丰富、形式多样

专题论坛是集成电路行业信息沟通与技术交流的重要平台。第二天的会议以四个分会场同步的形式举办了八场专题论坛,包括iC设计与eDa软件、集成电路前沿技术、FoUnDRY与工艺技术、绿色iC与汽车电子、ip与iC设计服务、全球金融危机下的两岸集成电路产业发展机遇、iC设计与封测服务、芯片与整机联动,精彩内容使得会场座无虚席,让参会代表受益匪浅。

“全球金融危机下的两岸集成电路产业发展机遇”是本次年会的特色专题之一。会议采取特邀嘉宾讨论和观众提问相结合的方式,探讨在全球金融危机下的两岸集成电路产业发展机遇。通过嘉宾和观众的互动形成自由、轻松的交流氛围,对金融危机下的我国集成电路设计产业发展环境及两岸进一步合作与发展等问题进行深入的讨论和交流。

“芯片与整机联动”专题也吸引不少iC设计企业和整机企业的参与。论坛以对话的形式探讨如何推动国产iC的应用,并对iC产品的热点应用、发展方向及系统整机应用国产iC等问题进行深入的讨论和交流。

企业展览―精彩纷呈、交流热烈

此次年会的专业展览吸引了台积电、SYnopSYS、CaDenCe、mentoR、华润上华、芯原、联创、日月光公司等超过50家国内外知名企业参展,向业界展示了他们的最新技术与产品。

会议在由厦门市政府举行的招待晚宴中拉下了帷幕,代表们一边举杯同祝,一边欢声畅谈,在现场热烈的交流氛围中,集成电路设计分会常务副理事长魏少军教授宣布了下一届年会将在无锡举办,并由厦门市政府和无锡市政府举行了会旗交接仪式。

集成电路原理与设计篇10

当今世界,计算机的发展已成为领导工业现代化进程的潮头军,自1946年世界第一台电子计算机诞生以来,短短的五十多年间,计算机作为一种现代化的高级工具以惊人的速度迅速地渗透到了社会生活的各个领域,引起了全球的技术革命。计算机技术的飞速发展离不开另一门产业的发展,即集成电路产业。因为集成电路的出现才使计算机摆脱了电子管、晶体管等原材料构件的束缚,逐步走向小型化,轻型化,高智能化,迅速走向了社会,走入了家庭。

集成电路产业的飞速发展,产生了许多新的法律问题,由于传统知识产权法的局限性以及集成电路及其布图设计本身存在着的特殊性,集成电路布图设计的法律保护问题也引起了法学界的极大关注。各国也纷纷就集成电路布图设计进行立法,以保护此种特殊性质的知识产权不受侵害。

我国早在1991年国务院就已将《半导体集成电路布图设计保护条例》列入了立法计划,经过10年的酝酿,我国的《集成电路布图设计保护条例》终于于2001年3月28日由国务院第36次会议通过,并于2001年10月1日起施行。这是目前我国保护集成电路布图设计知识产权的一部重要法规。虽然它是一部行政法规,但经过试行一段时间到条件成熟后,将之上升为法律的形式是必然的趋势。我国采用专门立法的形式保护集成电路布图设计既尊重了国际知识产权保护的原则,又便于与国际法律接轨,而且这部条例既保护了集成电路布图设计专有权人的权益,又考虑到了国家和公众的利益,使技术进步不受到人为的限制。这一条例初步建立了我国集成电路布图设计的知识产权保护的理论体系,进一步完善了我国的知识产权法律制度。

一、集成电路和布图设计的概念与特点

集成电路是指半导体集成电路,即以半导体材料为基片,将至少有一个是有源元件的两个以上元件和部分或者全部互连线路集成在基片之中或基片之上,以执行某种电子功能的中间产品或者最终产品。一块集成电路通过控制电流在其电路中的流动来实现其功效。在计算机发展的初期,每个电路元件(如晶体管、电阻、电容等)都是用引线同电路中的其它元件相连接的。这种做法须耗费大量的劳动力与工时,且计算机制作成本很高,大量连线的存在使电流的流动距离增长,不仅影响了计算机工作的速度和可靠性,还引起电路功耗的增加,从而带来电路的散热以及要求有较高电压的电源等一系列的问题。这也正是最初计算机体积庞大、耗电量大、速度慢的根本原因。采用集成电路以后,这些问题就得到了解决:由于电路元件及连线实质上已成为一体,作为一块电路板上的不同元件,它们之间的电流交换速度大大增强,且电路的功耗亦大幅度降低,不仅提高了计算机的性能,还大大降低了计算机的成本。由于生产集成电路的主要原材料硅、铝、水等一些化合物并不昂贵,但经过加工以后得到的集成电路产品的价值往往可以达到其材料价值的几十倍,几百倍甚至上千倍。在其价值成本中,大部分都是知识、技术与信息所增加的附加价值。这种附加价值主要集中在以集成电路为载体而体现出来的人类智慧的结晶-布图设计的价值上。就象相同的磁带因为录制不同的歌曲其价值就会不同一样,用相同的技术工艺在同样的芯片上依不同的布图设计所制作出的集成电路,其价值也是不同的。好的布图设计制作出的芯片往往能具备更高的性能和工作速度。因此,集成电路的法律保护问题,归根结底在于对其布图设计的保护。

对布图设计,世界各国的称呼各有不同:美国称之为掩膜作品,(mask work),日本称之为电路布局(Circuit Layout),欧洲国家采用的是另一个英文单词topography(拓朴图),而世界知识产权组织(wipo)于1987年2月通过的《关于集成电路知识产权保护条约》(简称《wipo条约》或《华盛顿条约》)中则采用了Layout-design(布图设计)一词。这些词语字面上的表示虽各不相同,但其真正的含义都是相同的,即指集成电路中各种元件的三维配置。许多人认为布图设计只是一种设计图,就象建筑工程设计图一样。事实上布图设计与建筑工程设计图这种一般的二维设计图是不同的,它是一种有许多不同层面的三维设计,每一层面上又有许多复杂的电路布图装置图,而且最重要的是,真正可以用于实践的布图设计是经过了特殊的工艺按实物尺寸复制在玻璃板上,可以直接加工在芯片上的模本,即掩膜版。现在世界上虽已有一些更先进的模本技术,但是最终布图设计还是必须做成与集成电路产品实物一般大小的模本,才可算是完成了布图设计的制作。在生产过程中,这些模本是直接被“做”到产品中去成为产品的一部分,而不是象建筑设计图那样本身与实际的建造结果之间并无联系。一个小小的掩膜作品中所包含的电路设计图往往可以是几十张上千张甚至上万张。设计一组布图设计,需要付出巨大的创造性劳动,它代表着芯片开发中的主要投资,可占其成本的50%以上。布图设计作为人类智力劳动的成果,具有知识产权客体的许多共性特征,应当成为知识产权法保护的对象,其特点主要表现在:

(一)无形性。

布图设计作为一种元件的“三维配置”,这种配置方式本身是无形的、抽象的,是人类智慧的体现,但它可以通过有形的载体表现出来而为人所感知。当它被制作成芯片时,表现为一定的构形;当它被制成掩膜版时,表现为一定的图形;当它被输入计算机时,则以一定的数据代码的方式存储在磁盘之中。

(二)可复制性。

布图设计具有可复制性,但其可复制性与一般著作权客体的可复制性不同。当布图设计的载体为掩膜版时,它以图形方式存在,这时只要对全套掩膜版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘为载体时,同样可用通常的拷贝方法复制。当布图设计的载体为集成电路芯片时,它同样可以被复制,只是复制过程相对要复杂一些。复制者要先把芯片的塑料或陶瓷外壳打开,利用一台高分辨率的照相机,把顶上的金属联接层照下来,再用酸把这层金属腐蚀掉,对下面那层半导体材料照相,获得该层的掩膜作品。照完后利用相同的方法再照下一层,如此一步一步做下去,就可以得到这一芯片的全套掩膜,依靠这套掩膜就可以模仿生产该芯片。这种从集成电路成品着手,利用特殊技术手段了解其布图设计的方法被称为“反向工程”方法。这种方法虽需一定的技术要求,但是比起原开发者漫长艰辛的开发过程,其所花费的时间和精力都只是后者的若干分之一。

(三)表现形式的非任意性。

布图设计是与集成电路的功能相对应的。布图设计的表现形式要受到电路参数、实物产品尺寸、工艺技术水平、半导体材料结构和杂质分布等技术因素和物理规律的限制,因此开发新的功能相同或相似的集成电路,其布图设计不得不遵循共同的技术原则和设计原则,有时还要采用相同的线宽,甚至采用相同的电路单元。这就造成了对布图设计侵权认定难度的加大,有关这一点,笔者将在后文论述。

由以上特点可以看出,布图设计的无形性是知识产权客体的共性,可复制性是著作权客体的一个必要特征,表现形式的非任意性则是工业产权客体的特性,因此,布图设计成为了一种兼有著作权和工业产权客体双重属性的特殊知识产权客体,很难在传统的知识产权法律保护体系中得到完善的保护。因此要想求取良好适当的法律保护模式,就必须突破传统的界限。针对布图设计自身的特征,制定出专门的单行法律加以保护,这是世界上大多数国家的共识。我国也正是采用了此种立法方式。

二、我国集成电路布图设计知识产权保护的理论体系

我国集成电路布图设计的知识产权保护体系是在传统知识产权法理论的基础上,借鉴国外的一些理论和实践建立起来的。这一理论体系的核心概念即布图设计专有权。

(一)布图设计专有权的概念和要素

1、概念

布图设计专有权就是布图设计的创作人或者其他权利人对布图设计所享有的权利,具体来说,就是指国家依据有关集成电路的法律规定,对于符合一定手续和条件的布图设计,授予其创作人或其他人在一定期间内对布图设计进行复制和商业利用的权利。布图设计专有权作为一种独立的知识产权,既不属于专利权,也不属于著作权。而且,布图设计专有权是以布图设计为权利客体的,权利人对与布图设计有关的集成电路或其中所含的信息并不享有权利。

2、要素

布图设计专有权的要素包括三个,即布图设计专有权的主体、客体和内容。

(1)布图设计专有权的主体。

布图设计专有权的主体,即布图设计权利人,是指依照集成电路布图设计保护法的规定,对布图设计享有专有权的自然人、法人或其他组织。根据我国《集成电路布图设计保护条例》的规定,能够享有布图设计专有权的人主要有以下几类:

①布图设计创作者或合作创作者

布图设计的创作者或合作创作者即以自己的智力劳动单独或共同完成布图设计的人。由于布图设计的各个部分是密不可分的,具有整体性,缺少任何一部分布图设计都将无法完成预先希望达到的功能,因此,由多人共同创作完成的布图设计其权利只能作为一个整体由各创作人共同享有,即使各创作人所创作的部分能够与他人的部分相区分,他也不可能就这一部分设计单独享有权利。但是法律允许合作者就布图设计专有权的归属作出约定。

②主持创作布图设计的法人或组织

根据我国《集成电路布图设计保护条例》第9条第二款的规定:“由法人或者其他组织主持,依据法人或者其他组织的意志而创作,并由法人或者其他组织承担责任的布图设计,该法人或者其他组织是创作者。”由法人或组织主持创作的布图设计类似于版权法中的职务作品,其权利不由直接完成创作的人享有而由有关的单位享有。

③经约定可以享有权利的委托人

对于委托创作布图设计的情形,我国的规定是:“受委托创作的布图设计,其专有权的归属由委托人和受托人双方约定,未作约定或者约定不明的其专有权由受托人享有。”所以因受委托而完成的布图设计的专有权归属,首先依委托人与受托人的约定,双方未约定或约定不明的,由受托人也就是直接完成创作行为的人享有布图设计专有权。

④以上主体的权利继受人

布图设计权利人是自然人的,自然死亡之后,其专有权在法律规定的保护期内可依照继承法的规定转移。布图设计专有权属于法人或者其他组织的,法人或者其他组织变更、终止后,其专有权在法律规定的保护期内由承继其权利、义务的法人或者其他组织享有,没有承继其权利、义务的法人或者其他组织的,则布图设计进入公有领域。

另外,我国法律还规定外国人创作的布图设计首先在中国境内投入商业利用的,依照我国的法律可享有布图设计专有权。外国人创作的布图设计其他作者所属国同中国签订有关布图设计保护协议或与中国共同参加有关布图设计保护的国际条约的,也可依我国法享有布图设计专有权。

(2)布图设计专有权的客体。

《集成电路布图设计保护条例》中规定,布图设计专有权的客体是具有独创性的布图设计。这一规定与《wipo条约》①中的规定是一致的,我国已是该条约的正式签字国。布图设计的独创性是指该布图设计是创作者自己的智力劳动成果,并且在其创作时该布图设计在布图设计创作者和集成电路制造者中不是公认的常规设计。但如由常规设计组成的布图设计,其组合作为整体符合前述条件的,也是受到保护的客体。这一规定是为保护集成电路进一步发展而作的特别规定。我国法对布图设计的保护,不延及思想、处理过程、操作方法或者数学概念等。具体来说,一项布图设计要取得专有权,必须具备以下的条件:

①实质要件:申请保护的布图设计必须具有原创性。

具有原创性包括两层含义,一是指该布图设计必须是创作人自己智力劳动的成果,而非简单复制他人的布图设计。二是指该布图设计应具备一定的先进性,即它在创作完成时不能是当时集成电路产业中常用的,显而易见的或为人所熟知的。

对原创性的规定,大多数国家都大致相同,《wipo条约》中对此亦作出了详细的规定,我国作为集成电路技术较为落后的发展中国家,作出这样的规定有利于鼓励有关技术人员的积极性和主动性,以促进集成电路产业的发展。

②形式要件:即取得保护的布图设计在形式上必须具备的条件。

我国以登记作为布图设计取得权利保护的形式要件。

我国已规定了一套类似计算机软件版权登记的布图设计权登记制度。如果不进行登记,权利人将很难证明其布图设计在创作完成时是非显而易见的,因为布图设计的发展十分迅速,等到侵权纠纷出现时,举证已相当困难,建立一套登记制度即可在很大程度上解决这一难题。

(3)布图设计专有权的内容

布图设计专有权的内容即指布图设计专有权的具体权能。根据《集成电路布图设计保护条例》的规定,我国的布图设计专有权的权能主要包括:

①复制权,即权利人有权通过光学的、电子学的方式或其他方式来复制其受保护的布图设计或者含有该布图设计的集成电路。这种复制(reproduce)与版权法中的复制(copy)是不同的,它必须通过特殊的方法实现,实际上是一种重新制作。所以,我国《条例》中明确规定:“复制,是指重复制作布图设计或者含有该布图设计的集成电路的行为。”

②商业利用权,即布图设计权人享有的将受保护布图设计以及含有该受保护的布图设计的集成电路或含此种集成电路的产品进行商业利用的权利。各国立法对此权利内容的规定不完全相同,但一般都包括出售权、出租权、展览陈列权以及为商业目的或其他方式的利用而进口的权利等。我国法所规定的商业利用,是指为商业目的进口、销售或者以其他方式提供受保护的布图设计,含有该布图设计的集成电路或者含有该集成电路的物品的行为。

值得注意的是,从各国现有的集成电路法规定看,布图设计权均不包括任何精神权利,且布图设计权不影响权利人根据其他法律而对布图设计所享有的权利。

(二)布图设计专有权的权利限制

作为一种知识产权,和专利权及版权一样,布图设计权的行使也存在一定的限制。从各国立法的情况来看,对布图设计权利的限制主要有以下几种:

1.合理使用。这与版权中的合理使用相类似,主要包括为个人目的而复制或利用和为教学研究而复制或利用。

2.合理的反向工程。反向工程是现代集成电路工业发展的主要手段之一,但是反向工程也具有一定的特殊性,因为在复制他人布图设计时也可能会用到反向工程的技术,以科学研究为目的的反向工程是合法的,而单纯为获取他人布图设计而进行的反向工程则是非法的,这又涉及到一个侵权认定的问题。

3.权利穷竭。布图设计权人或经其受权的人将受保护的布图设计或含有该布图设计的集成电路产品投入市场以后,对与该布图设计或该集成电路产品有关的任何商业利用行为,不再享有权利。

4.善意买主。即基于善意,不知道有关半导体芯片产品的保护的存在而购买了该半导体芯片产品的人。这些人的行为是不能构成布图设计侵权的。

5.强制许可。即在一定条件下,一国政府可以不经布图设计权利人的同意强制作可他人或有关的组织使用其布图设计。这一做法主要由一些发展中国家采用,一些发达国家如美国对此持反对意见。《wipo条约》对强制许可采取了肯定的态度,允许缔约各国根据自己实际情况在法律上规定强制许可制度。

根据我国《集成电路布图设计条例》第四章的规定,我国对布图设计专有权行使的限制主要体现在以下这几个方面:

(1)为个人目的或者单纯为评价、分析、研究、教学等目的而复制受保护的布图设计的。

(2)在依据前项评价、分析受保护的布图设计的基础上,创作出具有独创性的布图设计的。

(3)对自己独立创作的与他人相同的布图设计进行复制或者将其投入商业利用的。

(4)受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品,由布图设计权利人或者经其许可投放市场后,他人再次商业利用的。

(5)在国家出现紧急状态或者非常情况时,或者为了公共利益的目的,或者经人民法院、不正当竞争行为监督检查部门依法认定布图设计权利人有不正当竞争行为而需要给予补救时,国务院知识产权行政部门可以给予使用其布图设计的非自愿许可。但是取得非自愿许可的自然人,法人或其他组织应向布图设计权利人支付合理的报酬,其数额由双方协商;双方不能达成协议的,由国务院知识产权行政部门裁决。

由以上这些规定可以看出,我国基本上采用了与《wipo条约》相似的规定,这有利于我国的集成电路布图设计与国际法律规定的接轨。

(三)布图设计侵权及其认定

所谓布图设计侵权,即指侵犯了布图设计权利人的权利,依法应承担法律责任的行为。它主要包括非法复制与非法进行商业利用两种。其中非法进行商业利用的行为比较容易认定,也易取证,但对非法复制的认定却存在一定的难度。非法复制主要有两种:

1.完全复制,即将原布图设计原封不动照搬下来。这种情况比较好认定,因为开发一种布图设计是一项艰巨复杂的脑力劳动,两个相互独立的开发人在互不接触的情况下独立开发的两种功能相同的布图设计,虽然在电路原理上有可能相似,但是表现在掩膜版上的具体元件布置、连线等布局完全一模一样的可能性几乎为零,因此在实践中如发现两种完全一样的布图设计,那么必定是后一布图设计人复制了前一设计人的布图设计,举证责任主要集中在开发时间先后上,如果规定有布图设计的登记制度,这就比较容易认定。

2.部分复制,即仿制,这是目前存在的布图设计侵权行为中占比重最大,而且也是最难认定的一种侵权行为。它之所以难以认定的主要原因是布图设计中有许多共同遵循的基本电路原理和技术原则,再加上新旧布图设计之间需有兼容性的要求,在功能相类似的布图设计中不可避免地会有一些相同或相似的地方。因此许多布图设计侵权人在获取他人布图设计作品以后,将一些无关紧要的元件位置作一定的改动,在电路设计连线上再作一些调整,就会使新的布局与原有的布局很不相同,尤其在制作成集成电路产品以后,肉眼无法观察,必须借助机器进行复杂的技术处理后才能认定,这就更增加了对此种侵权行为认定的难度。

笔者认为,在认定这种侵权行为时必须抓住两个关键:一是两种布图设计是否实质相似,二是另一布图设计创作人是否曾接触过受保护的布图设计。确定了这两点,侵权的判定即可成立。对是否实质相似的认定,主要可从两个方面着手:(1)从设计组成上看,首先在量上确定,两种布图设计相同的部分有多少,占全部布图设计的比重有多大,一般而言,相同的越多,是复制的可能性就越大,另外在质上可考察相同的部分在整个设计中所起的作用是否相同,如果起的都是核心的作用,那么就很容易构成实质相似。(2)从功能上看,两种布图设计的功能是否相同是二者是否实质相似的根本要件,如果两种功能完全不同的布图设计,即使其元件布局、线路布置绝大部分相同,也不可能构成实质相似。抓住了这两个关键,对于仿制的侵权认定就相对容易了。

总之,我国的《集成电路布图设计条例》已初步建立了我国的集成电路布图设计的知识产权保护理论体系,对布图设计侵权作了规定,并且规定了侵权人应当承担的法律责任。但我们仍需要在今后的布图设计权利保护实践中进一步改善我国的保护制度,使布图设计专有权的保护更全面更完善,从而促进我国集成电路产业的进一步发展。

[注   释]:

①即《关于集成电路的知识产权条约》(treaty on the intellectual property in Respect of integrated Circuits),是世界知识产权组织(wipo)于1989年5月在华盛顿通过的一部国际条约,简称《wipo条约》。现在已在这个条约上签字的国家有埃及、加纳、利比里亚、危地马拉、南斯拉夫、赞比亚、印度和中国等。

[参考书目]

1. 郑成思著《计算机软件与数据的法律保护》,法律出版社,1987版。

2. 郑成思著,《信息、新兴技术与知识产权》,中国人大出版社,1986版。

3. 英明初著,《计算机软件的版权保护》,北京大学出版社,1991年8月版。

4. 唐光良、董炳和、刘广三著,《计算机法》,中国社会科学出版社,1993年11月版。

5. 刘江彬著,《计算机法律概论》,北京大学出版社,1992年7月版。

6. 赵震江主编,《科技法学》,北京大学出版社,1991版。