集成电路设计与仿真十篇

发布时间:2024-04-30 01:11:47

集成电路设计与仿真篇1

关键词:电子应用;电子电路;仿真技术

1电子电路仿真技术

1.1电子电路仿真技术的内涵

电子电路仿真技术就是在电子电路设计的过程中,设计人员利用计算机仿真技术对电子电路的工作状态,采用数字化的形式将其呈现出来,从而能够真实的、准确的模拟出电子电路的工作状态,能有效的帮助电路设计人员来分析电路的功能与基本特征。从工作原理上分析,电子电路仿真技术就是利用数字模拟的方法对电子电路中的各个元器件与模块进行组合测试,分析新设计的电路工作状态,并将其应用于电子电路的开发与设计中。电子电路仿真技术通过对设计的电子电路进行集成化的建模模拟,可以对电子电路的设计进行全局统筹管理,在电子电路的测试还是在研发上,都具有优势,由于电子电路的设计需要集自动化、电气、机电于一体的工作模式,为了控制产品设计的达标和质量的准确性,利用模拟仿真技术对其进行调整是十分有必要的。

1.2常见的电子电路仿真软件及功能

(1)orCaDpSpice软件。该软件是一种针对元件级别的电子电路仿真软件,主要是采用Spice通用语言进行编写,可以根据实际需要开发模块,具有较强的移植性,在电子电路设计中具有较好的性能。该软件主要由电路仿真、元器件编辑以及原理图编辑等模块构成,利用电路元件模型编程设计,可以对元器件的真实特性进行模拟,在模拟时,可以利用电路方程进行计算,分析电子电路的细节特性,orCaDpSpice软件的主要功能是用于复杂电路的特性分析,能对元件级别的电子电路进行模拟,还能对数模混合的电子电路进行仿真模拟,在电子电路设计时,该软件能够提高电路的集成效果。orCaDpSpice软件的缺点是不适合电路功率比较大的电子电路,对分析计算时间比较长的电路分析也缺乏灵敏性,对于仿真收敛性差的电子电路也不能有效的模拟。(2)Saber软件。该软件是功能比较强大,适应比较强的电子电路仿真软件,可以应用于电力电子、机械、光电等不同类型电路的模拟仿真,而且还具有兼容混合仿真的功能,能从不同的层面分析电子电路的测试与特性,它能对原理图的电路进行输入性的模拟仿真,对电路中的复杂数据进行可视化分析与建模,该软件的主要缺点是操作比较复杂,而且模拟仿真的原理图效率较低。

2电子电路仿真技术在电子电路设计开发中的应用

2.1促进集成电路的开发与设计

电子电路是集成电路的设计的关键,电子产品的不断更新与发展,对集成电路的性能也提出了新的要求,只有不断创新集成电路的设计,才能提高集成电路的性能,利用电子电路仿真技术可以有效地对电子电路进行设计,并可以对复杂的集成电路性能进行分析,从而能方便的将集成电路进行压缩,从而形成芯片级的集成电路,这样不仅有利于电子产品的开发,还能增强电子产品的可靠性、安全性、稳定性及美观性,还能提高电子产品的芯片性能与工作效率,利用仿真技术对集成电路的性能、参数等分析计算,利用仿真电路的虚拟化测试,优化电子电路的设计方案,以不断的优化集成电路的性能。因此,在集成电路的开发与设计,需要灵活的运用芯片系统思想进行常开发,不断利用仿真技术对电子电路的性能进行分析计算,来验证芯片性能,分析其是否满足电子产品的要求,同时可以利用仿真模拟技术对集成电路进行不断的完善与改进,从而保障电路设计的准确性,从而不断的促进电子电路的发展。

2.2优化电子电路的设计方案

在电子电路设计的过程中,需要综合考虑电子电路器件的性能,如温度敏感性等,一旦电子元器件外界的稳定发生变化,或者环境发生改变,外界的温度就会对电子元器件产生一定的影响,从而影响整个电路的稳定性,利用仿真软件对电子电路的长时间运行状态进行模拟,及时发现电子电路运行中存在的问题,及时调整电子电路的设计方案,才能总体提高电子电路的性能,从而能够提高电子产品的稳定性,将电子电路仿真技术应用于电子应用开发中,对电路运行的每一个细节进行模拟,达到总体优化电路的设计方案。采用电子电路仿真技术可以对不同温度状态下的电路特征情况进行分析,便于为设计人员提出电路的设计方案,进而整体改善电子电路元器件的温度敏感性,打的优化电路设计的目标。

2.3提供新的电子开发方式

电子电路新技术的发展,为人们提供了更为优质的电子系列服务,在开发新的电子产品时,需要注重电子电路的创新设计,才能提高电子系列产品的开发效率,采用仿真技术可以快速的多电子电路的相关功能、参数等进行设计分析,达到优化电子电路的目的。在传统的电子应用开发工程中,由于元器件比较复杂,电路受到多种因素的影响,导致电子电路设计与开发的时间过长,技术也比较漫长,在仿真技术发展的情况下,利用模拟仿真可以对电子电路的设计进行调整,也就提供了新的电子电路开发方式,利用模拟仿真技术还可以对电子电路的环境进行模拟、实验与调试,改善了电子产品的设计效果,极大地优化了电子产品调整效果,采用电子电路仿真技术,使得电子产品的开发呈现出多元化的发展趋势,也为电子产品的开发提供新的思路。

2.4有效验证电路设计的功能

对于电子电路的开发设计只是电子产品开发的第一步,如何有效的验证电子电路的功能是否满足要求,保证电子电路功能、参数的稳定,成为电子产品开发的关键,这就需要采用仿真技术对电子电路的功能进行模拟,对电路的功能进行多重验证,以保证电子电路应用的稳定性、合理性、科学性与安全性。电子电路的设计人员可以将仿真技术的模拟分析功能与电路设计的可行性结合在一起,全面对设计的电路进行检测分析,通过模拟仿真的参数来分析电路存的误差,以及电路在运行的过程中,与实际预期不符合的情况,从而能有效的降低电路功能的误差,或者存在着不能使用的情况,真正实现对电子产品的电路设计的功能进行验证,同时在一定程度上还能提高电子产品的功能能。

2.5在电子电路的虚拟测试中的应用

电子电路的设计要能合理根据各个电器元件的功能,详细地对各个参数进行设计,才能保证电子电路的高精密性的要求,如果电路的稳定性不强,精密性不高,就会影响着电子电路的稳定性,利用仿真软件的功能,可以对电子电路的运行情况进行虚拟性的测试,从而能够对电子电路的功能、参数进行有效的设计,提高电路的稳定性。因此,在进行电子产品开发与应用时,针对电子产品应用的环境,保证电子产品在恶劣的环境中能够发挥稳定的功能,就需要设计特色的环境,对电子电路的运用进行进行分析,采用仿真技能,可以展开特殊环境在电路运行状态的模拟分析,测试电路运行的极限值,保证电路在高温、高压情况下参数、特性保持稳定,通过仿真技术的虚拟测试,及时发现电路中的问题,可以提高电子产品应用的稳定性与安全性。

3结束语

电子电路仿真技术在电子电路开发中的应用,可以快速的、有效的对电子电路的性能进行模拟测试,便于设计者能快速、准确地发现电路设计中存在的问题,提高了电子电路的设计效率,也为电子电路的稳定性、安全性等提供了基础,从而也能够有效的促进电子产品向集成化的方向发展,使得电子产品的应用能够为人们提供更优质的服务。

参考文献

[1]苏青霄.电子电路仿真技术在电子应用开发中的作用[J].企业科技与发展,2020(02):142-143.

[2]蒋昌太.电子电路仿真技术在电子应用开发中的运用[J].电子世界,2019(24):173-174.

集成电路设计与仿真篇2

关键词:LabView程序设计;电子电路模拟;仿真设计;

引言

LabView是以虚拟器,即Vi作为应用设计中的硬件资源,并提供数据分析的功能。其作为一种图像化的编程语言的开发环境,集成了电子电路模拟机仿真设计所需的全部工具,帮助开发者完成从设计到测试等一系列步骤,使得仿真系统能够快速便捷地采集、分析和可视化访问所有数据,并直观、真实的再现电子电路运行情景,模拟和仿真电子电路运行过程,加深学生对电子电路的理解、记忆和运用。本文就将LabView引入电子电路模拟及仿真设计中,应用LabView开发软件在图形界面、扩展功能、编程语言、虚拟仪器上的技术优势,明晰设计原理和步骤,并以负反馈放大电路为设计实例,推进模拟与仿真系统的设计与应用。

1电子电路模拟仿真中LabView的设计原理

1.1LabView的主要功能操作

LabView是美国ni公司推出的图形化编程软件,也即实验室虚拟仪器工作平台,在开发程序中,一般将LabView界定为虚拟仪器,也即Vi,其扩展名默认.Vi。LabView是世界上首个采用图形化编程语言也即G语言、技术的面相仪器的32位编译程序开发系统,其支持数值型、文本型、字符串型、布尔型等多种数据类型,且改变了传统的文本语言编程形式,简化了程序开发、设计流程。LabView软件以应用程序Vi为核心,每个Vi又由多个更底层的Vi构成,底层Vi为最基本的计算,具体可实现以下功能:一,可以通过i/o接口设备来采集、测量相关电子电路信号,并完成操作与界面设计功能;二,LabView中集成了现代计算机计算,可运用计算机强大的软件功能来运算、分析与处理信号数据;三,可借助于计算机的显示功能来模拟仿真传统仪器的控制面板,将电子电路信号进行输出显示,及利用计算机硬件和数据采集卡来采集、监测信号数据,而后通过计算机的相关软件对其进行运算、分析、处理之后将其结果传递给显示界面,予以显示测试结果。LabView中的Vi由图表/连接器、框图程序和程序前面板构成,其中程序前面板主要是用来模拟仪表的前面板,结合实际要求设置数据来检测输出量,输出量在模拟电子电路中称之为显示,而输入量则可以看作是对系统的控制,无论是显示还是控制在程序前面板上均是以图标的形式呈现,或开关、或按钮、或图形等;框图程序:每一个程序都有相应配套的程序跟随,与程序前面板配套的则是框图程序,框图程序主要是通过LabView编写程序,本质上是一种传统程序的源代码,其包含节点、端口、连线以及图框,端口是传统程序前面板中命令的下达,节点主要是保证系统功能的实现,图框确保程序控制命令的下达,连线是程序执行过程中的数据流,并指明了数据流的动态方向;图标/连接器端口可将一个Vi在其它Vi的方框图中作为子Vi应用,为虚拟仪器向子仪器的数据传输提供条件。

1.2LabView程序设计步骤

其一,创建前面板,前面板主要是仪器操作界面,实际工作开展中用户通过操作前面板实现对仪器的操作,所以创建前面板时需要考虑到仪器界面内容是什么,根据设计仪器的功能需要来设计器见面板。在前面板中加入数值输入空间、现实空间以及波形显示控件等,甚至可以结合用户实际需要自定义功能。其二,创建程序框图,程序框图主要就是创建仪器想要实现的功能,等同于仪器内部电路,结合程序框图特点,做好各部分连线,完成程序设计;程序框图对象包括接线端、子Vi、函数、常量、结构和连线,创建前面板后,需要添加图形化函数代码来控制前面板对象,程序框图窗口中包含了图形化的源代码,其基本程序框图,如图1所示。其三,对前面板和程序框图设计完成后,进行调试,通过加亮执行、单步执行等方法,每次调试同相配套理论进行分析,直到确定调试结果同理论分析结果相一致。二基于LabView的电子电路模拟及仿真系统设计鉴于LabView软件的功能优势性,本文在结合电子电路模拟及仿真的应用需求,遵循相关设计原则和方法的基础上,设计了一种电子电路模拟及仿真系统,主要涉及演示实验模块和实操实验模量两大主模块,同时,因电子电路教学中,常包含晶体管单管放大电路、负反馈放大电路、RCL串联谐振电路、一阶动态电路、二阶动态电路、信号产生电路、基本运算电路等模拟及仿真。本文所设计的电子电路模拟及设计系统是以nieLViS教学实验室虚拟仪器套件作为硬件平台,其是一种模块化平台,在单个小巧的组成结构中集成了12款最为常用的测量仪器,为系统搭建实验电路和调理电路;在电子电路模拟及仿真系统中,首先要检测拟实验对象的状态,如电子电路输入输出数值、电子电压信号的频率和幅值,RmQ震荡波形及单调衰减波形等,并将这类信号数值转换为符合实际数值的信号,以此作为模拟及仿真实验的根本出发点,应用LabView图形变成软件为开发工具和其相应的DaQ数据采集卡,围绕信号的采集、分析和处理,设计出系统的主要模拟及仿真模块。基于LabView的电子电路模拟机仿真系统主要由硬件系统和软件系统构成,其中,硬件系统主要负将电子电路实验中所测得的模拟信号,并运用信号店里电路的放大、隔离、滤波,使得输入的电子电路信号符合LabView的DaQ数据采集设备预先设定的数值,将采集的模拟信号转换为数字信号经由计算机的数据总线传输给计算机系统,通过LabView中的Vi面板显示测试结果;软件系统主要由驱动程序和多种用户自定义的虚拟仪器构成,运用LabView软件的多层次化结构,可以将创建的Vi程序作为子程序调用,以此实现系统复杂程序的扩展,并借助计算机强大的计算能力、存储以及数据传输能力,得到电子电路实验参数,在其内存缓冲区来进行电子电路的实际操作。

2基于LabView的电子电路模拟及仿真的应用实例

就LabView本质特点来看,在实际教学中应用较为广泛,能够通过模拟仪器实验获得教学需要,为了进一步探究LabView实际应用成效,本文在客观分析模拟电子电路的应用特点的基础上,以LabView为开发集成环境,并采用数据采集卡,以负反馈放大电路的模拟及仿真设计为研究实例,进行了详细分析,其总体程序框图如图2所示。多功能信号发射器设计的目为模拟电子电路实验,而在传统的负反馈放大电子电路模拟及仿真设计中,主要是选择元器件,并借助示波器来测量信号的强度和频率,结合实际需要增加其他元件,这样的设计存在较大局限性,造成最终设计的电路结构更为复杂,一旦某一元件出现问题极易造成整体电路出现故障,而信号在传播过程中为模拟信号,输出信号不准确,甚至信号中掺杂着过冲、杂散等一系列问题,影响模拟电子电路实验效果。而较之传统电子电路实验方法来看,LabView模拟电子电路实验方法优势较为突出,可在LabView的控制模块中加入相关的开关和按键,实现系统控制的灵活性,且因控制模块自由度较高,在设置显示器时应选择3个为最佳,以此对3中不同类型的电路波形进行显示;同时,可增设频率选择、幅值选择、开关等控件设置,频率选择控件简化为数值输入控件,便利了电子电路频率和幅值数据信息的直接输入,并可通过计算机鼠标右键选择属性,在计算机外观选项中重新命名这些标签。在前面板中加装数字滤波器相关控件,以此多功能信号发生器与滤波器连接在一起,经过在虚拟面板上的操作,实现信号波形的输出、数字滤波器在时域上的功能分析。为验证LabView软件在负反馈放大电路模拟及仿真设计中的应用失效,本文设计了电压串联负反馈电路,其主要由两级放大子电路构成,并通过一个电容相连,可在前面板中设置电路电阻阻值,输入信号频率、电压数值以及三极管放大倍数等参数,并加入其它的输出信号和工作点,在程序框图中反映出来;同时结合模拟电路知识与输出结果可知,仿真结果验证了负反馈电路对整个电路的影响,串联反馈增大输入电阻,并联反馈减小输入电阻,电压反馈稳定电压放大倍数,电流反馈稳定电流放大倍数。

3结论

综上所述,本文主要基于LabView的电子电路模拟及仿真设计进行深入分析和探讨,LabView软件是以Vi虚拟仪器为应用程序的图形编程软件,以数字化的编程形式替代了传统文本式编程,使得电子电路模拟及仿真系统可视化、创建和编程设计更为简单、灵活,且支持多样化的操作形式,为系统各类模块设计提供更多选择。

参考文献

[1]李燕龙,蔡春晓,周巍.LabView在模拟电路课程教学中的应用-以负反馈放大电路为例[J].大众科技,2015,07:133-135.

[2]唐辉平.LabView在电类课程实验仿真中的应用[D].湖南师范大学,2013.

[3]曹秀爽,刘鹏.基于LabView的模拟电子技术课程远程实验平台的设计[J].科技信息,2014,05:36-37.

[4]王秀梅.LabView在模拟电子电路设计与仿真中的应用[J].电脑知识与技术,2013,18:4328-4330.

[5]张坤,秦翠亚,乔宇.基于LabView和multisim的模拟电路实验虚拟仿真平台的设计[J].河北软件职业技术学院学报,2016,01:55-58.

[6]周艳,陈永建.基于LabView和multisim的虚拟电子实验系统[J].计算机系统应用,2013,11:70-73.

[7]王铁流,黄景燕,潘云,孟庆宇.基于Labview的电子设计竞赛模拟电路自动测评系统[J].实验技术与管理,2007,05:61-65.

[8]向学军,杨盛,刘平.两种LabView、matLaB结合的控制系统数字仿真方法比较[J].自动化与仪器仪表,2006,05:83-85.

集成电路设计与仿真篇3

关键词:multisim仿真软件调制与解调分析应用

中图分类号:tn912.3文献标识码:a文章编号:1007-9416(2013)10-0215-01

multisim仿真软件又叫虚拟电子实验室。他是一个虚拟的电路电路仿真软件,可以进行原理电路设计和电路功能虚拟测试,是一个名副其实的虚拟电子实验。该软件可以虚拟测试和演示各种电子电路,可以进行详细的电路分析功能,帮助电路设计人员对所设计的电路进行性能分析,在实际的工作中multisim仿真软件具有很大的实用价值,尤其在在多路语音信号调制与解调实验中的地位更是不可取代。本文针对multisim仿真软件在多路语音信号调制与解调实验进行了详细的分析如下:

1实验原理及说明

频谱变换电路是现代通信中最为重要的电路之一,同样这种电路也是最基本的电路之一。现代通信技术在不断的发展,国家的相关部门又不断的提出我们要降低通讯成本,降低能耗,建设资源节约型社会。为了应对这种发展趋势,我们进行的电路设计已经摒弃了原来的一条线路只能传输一条信号的的设计方案,那样的方案应用率太低,成本很高。我们现在设计的电路需要实现各个语言信号的整合,使其可以通过提条线路进行传输,但是又不能产生干扰现象,这就要求我们所设计的电路。

调制解调过程就是将低频信号搬移到高频段或从高频段搬移到低频段的过程。所谓的振幅调制,就是要实现低频调制信号对于高频震荡的幅度进行有效的控制,使高频震荡信号通过低频信号反应出来;解调的过程比较简单,他就是将低频信号从调幅波中取出。可以进行调幅与解调的方式有很多,随着计算机的高速发展和软件的不断进步,现在的仿真电路种类很多,但是就现阶段而言,multisim仿真软件的市场份额还是存在其绝对优势的。multisim仿真软件在多路语音信号调制与解调实验中的过程比较简单,该软件的调幅功能的实现借助了集成乘法器,而对于检波功能我们通过二极管实现的,在具体的实验过程中,我们把实验电路进行了有效的模块分类,实现了多路语言的调制和解调,具体设计如(图1)所示:

2实验电路的确定及仿真结果

实验原理中我们可以清楚的看到,multisim仿真软件中的仿真窗口中的是设计多路电路的关键所在,我们必须在这些窗口中创立创建和设计多条语音信号的调制和解调电路,只有这样才能是吸纳多条实验电路公用一条通道的设想。在此过程中,我们要注意电阻、电容这些最为基本的电路元件需要从系统的原器件库中进行统一的调用;而电路中的语音信号和被加直流电压以及载波信号的来源我们通常借助电源信号库来实现;我们系统中的的控制件器库可以为我们提供电路设计中所需要的集成加法器和集成乘法器。这是我们整体的仿真系统中各个器件的来源和设计方式,最后我们按照试验线路中的但参数对试验器件的电参数进行设置就可以了。

2.1多路语音信号调制部分

我们所设计的多路信号调制电路中主要部分由:乘法电路、加法电路、多路加法电路、滤波电路和直流叠加电路等等。打开系统,进入仿真界面,我们可以观察到每个单元必须在输出端连接双踪示波器,之后打开我们系统的仿真开关,这时系统开始工作并进入仿真状态,对电路的实际工作进行模拟演示,我们可以通过观察示波器的输出信号,从而得出调制部分各个单元电路的电压波形,当然这个波形反应的是输出电压的情况。

2.2多路语音信号解调部分

相对于调制电路而言,解调电路的复杂程度要简单一些,在multisim仿真软件的工作系统中带通滤波电路、低通滤波电路、检波电路、多路加法电路共同组成了multisim仿真软件的各端仿真波形多路语音信号解调电路。同我们的调制部分相似,把双踪示波器和各个单元的电路输出端进行连接以后,我们就可以打开模拟开关了,这时我们的仿真系统便开始工作,我们同样需要观察示波器反应出的波形,这个波形就是调制部分各单元电路的输出电压波形,这就是multisim仿真软件的多路语音信号解调部分。

3结语

本文针对multisim仿真软件在多路语音信号调制与解调实验的原理和具体步骤进行了一系列的分析,可以看出该软件的设计比较合理,操作相对而言比较简单,而且该仿真系统所反应的实验结果具有很高的实际价值,在此类实验电路的模拟检测中有很重要的意义。另外,multisim仿真软件对于其他的电路模拟分析也有着很大的优势,在实际的应用中受到了大家的青睐。

参考文献

集成电路设计与仿真篇4

【关键词】eDa技术;QuartusⅡ;电子设计;VHDL

1.引言

集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于eDa技术的现代电子设计技术。eDa(electronicDesignautomation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CpLD、FpGa)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以eDa软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,pCB设计,CpLD/FpGa设计等。简言之,eDa技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CpLD、FpGa)中,从而完成电路设计的新技术。

以eDa技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图:

图1传统电子设计流程图

图2基于eDa的现代电子设计流程图

比较两种设计方法,基于eDa技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。Dea技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

2.QuartusⅡ软件开发环境介绍

QuartusⅡ软件是alter公司开发的综合性eDa工具软件,提供了强大的电子设计功能,充分发挥了FpGa、CpLD和结构化aSiC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方eDa工具无缝的集成在一起。QuartusⅡ与alter公司的上一代设计工具maX+plusⅡ具有一定的相似性,和继承性。使熟悉maX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

图3QuartusⅡ设计流程图

3.在QuartusⅡ环境下的eDa方法设计实例

下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于eDa技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

3.1在计算机上安装QuartusⅡ9.0版本软件

QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

3.2D触发器功能分析

从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

表1D触发器真值表

输入d时钟clk输出q

×0不变

×1不变

0下降沿0

1下降沿1

3.3D触发器的VHDL描述设计

下面给出D触发器的VHDL描述:

libraryieee;

useieee.std_logic_1164.all;

entitydff1is

port(d,clk:instd_logic;

q:outstd_logic);

enddff1;

architecturebhvofdff1is

begin

process(clk)

begin

ifclk='1'then

q<=d;

endif;

endprocess;

endbhv;

上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

3.4设计仿真

VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

图4D触发器仿真波形图

此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,说明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

图5D触发器逻辑电路图

3.5配置下载测试

整个电路设计、编译仿真无误后,按照FpGa开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FpGa中,对电路进行测试、验证,完成电路的最终设计。

4.结束语

本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于eDa技术的现代电子设计方法。通过设计过程可知,Dea技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,eDa技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

参考文献

[1]阎石.数字电子技术基础[m].北京:高等教育出版社(第五版),2006.

[2]刘江海.eDa技术[m].武汉:华中科技大学出版社,2009.

集成电路设计与仿真篇5

关键词:电子电路;仿真技术;电子应用

随着我国的科技水平越来越发达,人们的日常生活当中开始接连不断地出现各种各样功能丰富的电子设备产品,这些电子设备产品为人们的学习、工作带来了非常大的便利,大幅度地提升了人们生活的质量水平。但是,随着技术手段的不断创新,电子产品的更新换代越来越快,技术人员逐渐开始运用全新的技术手段来进行新型电子产品的研发。电子电路仿真技术的有效使用,为电子产品的新型开发开辟了一条便捷的通道。

1电子电路仿真技术的意义和作用

1.1有助于集成电路的发展电子电路仿真技术的发展应用,让集成电路的发展取得了一定进展。当前电子产品对于集成电路的要求越来越高,其密度每年都在增加。技术开发人员逐渐开始使用芯片级的系统思想来进行电子产品的设计和开发,将电路中所具有的功能全部集中到芯片中去,电子产品安全和可靠性有所提高,电子产品开发的工作效率也会相应提高。电子电路仿真技术对与上述功能的实现提供了非常大的帮助,在芯片生产和运用之前,利用仿真模型来确定芯片能否顺利使用,如果不能使用,则需要进行相应的改善,增强电路设计的准确性[1]。1.2有助于电路设计的优化大部分电子产品设备都具备对温度的敏感性,当外界环境的温度出现了明显的变化,其设备功能将受到一定影响,从而导致电子产品整体的稳定性受到影响。电子电路仿真技术的发展应用有效地改变了这种状况,电子电路仿真技术可以有效地分析出在各种温度的情况下不同电路所呈现出来的的不同特征。技术开发人员根据分析出来的结果对产品的设计方案进行不断的改进,以缩减电子设备对于温度的敏感性。电子电路仿真技术可以对电子设备的参数展开系统性的合理分析,技术开发人员根据所分析出来的结果选择出最适合的设备参数,并确定方案的设计程度,以保证电路的设计方案能够得到最大程度上的优化。运用电子电路仿真技术进行电路的优化设计的影响范围涉及到所生产出来的电子产品今后的批量投产[2]。1.3有助于电路功能的验证电子产品在系统的开发方案设计完成以后,需要对产品方案的可行性进行验证,以保证电子电路的设计符合了技术标准的要求。电子电路仿真技术的应用恰好可以有效地验证电子产品系统的研发方案是否具备了可行性,诸如电路功能是否存在误差等方面的内容。有效地验证电路可以减少在电路设计期间可能被设计人员不慎忽略掉的问题。电子电路仿真技术的应用可以有效保障在电路进行生产和制造之前,不会存在功能方面的问题,一定程度上为后续进行工作的技术人员减轻了任务量,让产品设计的质量得到大幅度提升,并缩减了电子产品开发的时间。1.4有助于电子产品的开发电子产品的开发所注重的重点是实践。技术开发的过程非常复杂,要不止一次地进行设计和制作,并经历多次技术调试和修改。对于电子产品的开发来说,技术的调试和修改是非常重要的环节,倘若这两个环节中出了问题,那么生产出来的电子产品的性能会出现不符合要求的现象,其最终的产品设计方案也会出现一些缺陷。因此,在电子产品的开发过程中,这两个环节所应用的先进技术非常关键。电子电路仿真技术的应用同传统的电路调试和修改手段相比较具备非常明显的优势,可以大幅度提高电子产品在修改和调试过程中的准确性。电子产品的开发不再拘泥于传统的研发方式,利用电子电路仿真技术这项新型开发技术来进行电子产品的研发。

2电子电路仿真技术的发展趋势

电子电路计算机仿真技术是现阶段电子计算机应用技术领域中一项重要发展进程,电子电路仿真技术的全面完善,能够进一步推动电子应用技术的飞快发展。当前电子电路的仿真技术还仅限于电路硬件系统方面的仿真,对于一些具备CpU的数字系统还无法进行仿真。随着电子电路仿真系统模型的不断进步与完善,加上系统算法的不断精确,总有一天针对CpU程序的电子电路仿真技术功能也会应运而生。电子应用的开发技术是大规模集成电路器件的广泛应用,是硬件描述语言的使用,也是电子电路仿真技术的有效应用与电子产品生产方式社会化的科学统一。其中,电子电路仿真技术的应用,对于电子应用技术的开发有着非常深刻的影响。电子应用技术开发手段的不断完善,主要是围绕着为技术开发人员提供更便捷的设计方法、更可靠的电子器件与更方便的产品为主要内容进行完善的。围绕着这个发展目标,电子电路的仿真技术会得到更加全面的发展,其开发手段和开发时所用到的设备也会愈加完善,更进一步缩减电子产品开发所需要的时间[3]。

3结语

在电子产品开发的进程当中,电子电路的仿真技术在整个技术研发过程起到非常重要的作用,主要原因是电子电路的仿真技术作为一种新型的技术研发手段,有着相当大的发展和进步空间。但是还有大部分技术工作人员对这项技术并不了解,制约了电子产品的研究发展,要让更多的技术工作人员了解这项新型研发技术,将其运用到电子应用技术的开发中去。

参考文献

[1]冯威锋.浅谈电子电路仿真技术在电子应用开发中的作用[J].电子测试,2016(12):130-131.

[2]赵晓阳.电路仿真软件在高职《电子技术》课程教学中的应用[J].才智,2015(07):236.

集成电路设计与仿真篇6

论文关键词:输配电线路 施工技术 仿真系统 设计

论文摘要:由于社会对于电力的总的需求不断增大,同样对于输配电线路的施工技术要求也更加严格,输配电线路施工技术仿真系统的设计成为电力部门非常重视的问题,文章讲述了输配电线路施工技术仿真系统概况和输配电线路施工技术仿真系统功能设计详情,讲述了目前输配电线路施工技术仿真系统的设计策略及应用。

一、输配电线路施工技术概述

目前我国的输配电线路施工技术参与人员数量较多,但是这些人员的能力水平都是各不相同的,操作人员的各方面知识水平和素质也需要提升。对于输配电线路施工操作人员的培训如果仅仅停留在理论的层面,就难以替身操作人员的实践能力,参加培训的人员因为实践比较少,所以技能就比较差,正是这种原因使得人们对于输配电线路施工技术仿真系统的需求也更加迫切。

二、输配电线路施工技术仿真系统设计现状

(一)输配电线路施工技术仿真系统概况

输配电线路施工技术仿真是对现实配电线路施工技术系统的抽象属性的模仿。人们利用这样的模型进行试验,从中得到输配电线路施工技术所需的信息,然后帮助实践者对现实世界的输配电线路施工技术的问题做出决策。输配电线路施工技术仿真是一个相对概念,任何逼真的仿真都只能是对真实系统某些属性的逼近然而仿真是有层次的,既要针对所欲处理的客观系统的问题,又要针对提出处理者的需求层次,否则很难评价一个仿真系统的优劣。

输配电线路施工技术仿真系统一种先进的实施培训手段,提高培训的效率,强化培训效果。输配电线路施工技术仿真系统的设计是在计算机的基础上开发,通过internet软件平台及面向对象程序设计和数据库技术,综合设定,使得输配电线路施工技术仿真系统具有实用性和可维护控制性。

输配电线路施工技术仿真系统的开发,主要是首先起源于国外对于计算机仿真系统的应用,尤其是西方国家如英国、美国等大型企业开发计算机仿真系统,并取得了显着的效果,这样参加培训的人员可以在很短的时间内获得具体输配电线路施工技术作业经验,其技能可以与在现场工作2年的人员比,因此很多国家都看到了计算机仿真技术的优越性,计算机仿真系统也越来越多的应用到各个领域中。目前我国对于仿真系统的应用也是在一些危险性较大的领域,例如大型的锅炉装置、化学化工及变电站的应用中,后来有人提出在输配电线路施工技术作业中应用,但是目前仿真系统在输配电线路施工技术作业中应用仅仅停留在提出的阶段,还没有完全开发出完善的输配电线路施工技术仿真系统。

(二)输配电线路施工技术仿真系统功能设计

目前关于输配电线路施工技术仿真系统的设计的思想越来越统一,即输配电线路施工技术仿真系统必须能够便于施工技术模型的调试和输配电线路画面的构造,输配电线路施工技术仿真系统还应采取先进的运行软件和保证运行数据相分离的一种设计思路。

关于输配电线路施工技术仿真系统功能设计应该分成培训师和受培训者两个方面的功能,对于输配电线路施工技术仿真系统培训师功能应该是输配电线路施工技术仿真系统的集成操作,其主要功能是可以控制受培训者的机器,包括受培训者机器的开始暂停关机等功能,另外可以准确知道受培训者机器是否有事故及分析事故产生源,输配电线路施工技术仿真系统培训师机器功能还应是控制受培训人员考核的现场等具体状况。输配电线路施工技术仿真系统学员机器功能设计,首先要依附于输配电线路施工技术仿真系统培训师机的功能下,即能够受到输配电线路施工技术仿真系统培训师机器的监管控制。在这种模式的输配电线路施工技术仿真系统受培训人员的机器可以提供参家培训人员的操作画面,主要包括操作的流程图、、控制组、趋势图及操作记录等具体的监控画面。

输配电线路施工技术仿真系统将电网仿真系统和输配电线路仿真及配电站系统仿真等有机结合进行设计,该系统应该具有的特点是确保在硬件使用上采用了以局域网应用为核心,利用工作站、开放式系统及微机构成的分布式,以便于以后输配电线路施工技术仿真系统的扩充和升级。此外,在输配电线路施工技术仿真系统软件上采用了软件相互支持系统技术,这样使输配电线路施工技术仿真系统系统更加便于修改和维护。再者,在功能上要更加完善,即充分考虑了仿真电网和输配电线路施工技术仿真系统及仿真变电站之间的相互影响,使输配电线路施工技术仿真系统更加具有真实性。最后,还应通过采用了输配电线路施工技术仿真系统多媒体技术,逐步实现输配电线路施工技术的图像化和可视化,比较完整的反映出输配电线路施工技术作业情况,同时也使仿真的对象更加便于更改和进一步扩充,这样输配电线路施工技术仿真系统就会具有更高的性价比。

通过输配电线路施工技术仿真系统为输配电线路施工技术作业人员提供了一种较为先进的培训手段,同时也彻底改变了传统的培训模式,它的设计及应用可以提高整体的输配电线路施工作业技术,进一步确保电网安全,同样也大大提高了作业者的劳动生产率,为创造良好的经济效益和社会效益发挥着显着的作用。

三、结论

输配电线路施工技术仿真系统仿在不断的发展和完善中,供电有限公司每年都会投入很大的资金和时间等用于施工技术人员的培训及考核,着力开发完善的输配电线路施工技术的仿真系统,使得输配电线路施工技术的仿真系统能自由安排培训项目,并且允许人员在培训中发生各种错误,同时演示出因为操作错误造成的种种后果且不带来任何实际危害,不受其他客观条件的限制,此外还可以人为制造各种故障来综合培训操作人员处理操作中故障的能力。输配电线路施工作业人员进行重复性集中培训,从而使的操作人员在短期内接受较多的培训项目,缩短总的培训周期。可节约大量的培训时间与经费。所介绍的输配电线路施工技术仿真系统目前已经投入运行,实际应用表明该系统能极大地提高培训的质量,在短时间内提高施工技术人员的技术水平,对电力系统的建设起到了重大的推动作用。目前很多设计成果效果较为显着,但是为了进一步提高输配电线路施工技术仿真系统的应用水平,还需要更好的完善输配电线路施工技术仿真系统,争取达到创造更高的经济效益和社会效益。

参考文献:

[1]杨永生,郝小欣.分布交互式仿真技术在变电站仿真中的应用[J].电网技术.2000(9)

汤晓青,周林,栗秋华.输配电线路施工技术仿真系统的设计与实现[J].四川电力技术.2007(2)

姜芳芳,来文青,龚庆武.虚拟现实在变电站仿真系统中的应用[J].高电压技术.2005(7)

王邦志,林昌年,蒲天骄.变电站集中监控仿真系统的设计与实现[J].电网技术.2004(8)

张永翔,段绍辉,杨卫东,林昌年.深圳供电局变电培训仿真系统[J].电网技术.2000(1)

集成电路设计与仿真篇7

关键词:电路仿真;protel;实验教学

中图分类号:tp391文献标识码:a文章编号:1671-7597(2012)0720039-02

随着计算机仿真技术的迅速发展和不断完善,电子线路的设计由原来的人工手段步入电子设计自动化的(eDa)的时代。采用虚拟仿真手段,使电子线路设计人员能在计算机上完成电路的功能设计、性能分析和测试,直至印刷电路板的自动设计,已成为一种发展的必然趋势。如何将实物实验和理论教学有机结合,一直是个难题。在计算机辅助教学广泛推广的今天,这个问题得到了解决。本人结合多年的教学工作,在这些方面进行了一些探讨,现介绍如下:

1计算机辅助设计

目前,电子设计自动化(eDa)软件呈现多样化,功能越来越强大完善。流行的通用eDa软件主要有oRCaD、ewB、protel等,其中oRCaD仿真技术出现早,功能强大,适合于对复杂电路进行全面的分析优化;ewB电子工作台短小精悍,直观易用;protel综合性好,使用范围最大,普及率高,非常适合作为电路设计和电子线路教学的辅助教学仿真软件。而且,掌握好protel软件的使用,使学生能为将来的学习和工作打下扎实的基础。实践证明,使用protel99Se进行电子线路仿真,使得电子技术实验更加直观,极大的提高教学效果和学生的实验兴趣。

借助计算机辅助设计CaD(computeraideddesign),可将人的创造能力和计算机的高速运算能力、巨大存储能力和逻辑判断能力很好地结合起来,在开发工程、产品设计中,有许多复杂的数学分析和数值计算任务,需要提出多种设计方案,并进行综合分析比较与优化,还要给出工程图样及生产管理信息等,这些均可以交给计算机完成。设计人员则可对计算、处理的中间结果做出判断、修改,以便更有效地完成设计工作。计算机辅助设计能极大地提高设计质量,减轻设计人员的劳动,缩短设计周期,降低产品成本,为开发新产品和新工艺创造了有利条件。

2protel99Se电路仿真工具

protel99Se是目前非常流行的电子线路eDa软件,它不但在绘制原理图、pCB印刷板布线等方面功能完备,而且它为用户提供了功能强大、使用方便的电路仿真工具。它可以对当前所画的电路原理图进行即时仿真,在设计电路的整个过程中都可以仿真查看和分析其性能指标,能及时发现设计中存在的问题并加以改正,从而更好地完成电路设计任务。还能在电子线路教学仿真实验中,将抽象的理论公式和直观实验观察有机结合,极大的提高教学效果。在protel99Se中,集成了一个功能强大、支持模数混合信号仿真的工具软件Sim99,它同SCH99紧密结合,使得电路设计者能够在电路原理图上直接进行仿真操作,观察电路工作情况,如检查电路中的错误,修改元件的参数值等,观察欲了解的电路节点信号,最终达到理解电路工作原理的目的,设计出性能优越,功能完善的电路原理图。

protel99Se电路仿真软件具有丰富元器件库,包含有各种各样的分立元件和集成电路元件。这些器件库有常用的电阻、电容、二极管、三极管、moS管、单结晶体管、晶振、开关和变压器等分立元器件,同时还有大量的数字器件和其它集成电路器件,如74系列、CmoS系列、运算放大器、比较器和数/模和模/数转换器(aDC,DaC)等。在protel99Se中,使用者可以在图纸的任意位置上放人元器件。元器件的放置方向是任意可调的,其属性是可以编辑的,元器件的属性包括元器件的封装、标号、管脚号定义等只要确定起始点和终止点,protel99Se就会自动地在原理图上连线,连线可以任意角度切换,使得设计者在设计时更加轻松自如。同时该软件具有丰富的信号源,包括基本信号源、直流源、正弦源、脉冲源、指数源、单频调频源、分段线性源,同时还提供了齐全的线性和非线性受控源。具有足够的仿真模型库,这些器件库有常用的电阻、电容、二极管、三极管、moS管、单结晶体管、晶振、开关和变压器等分立元器件,同时还有大量的数字器件和其它集成电路器件,如74系列、CmoS系列、运算放大器、比较器和数/模和模/数转换器(aDC,DaC)等。这些元器件可满足用户的一般需求,同时它还提供了一个开放的库维护环境,用户不但可以方便地修改原有器件模型,而且还可以创建新器件模型,以满足设计与实验的需求。protel99Se还提供了电气法则测试,在原理图全部设计完成后,为了确定原理图的正确无误,可以执行电气法则测试操作。该操作可以测试用户设计的电路是否存在错误,程序自动进入文本编辑器并生成相应的测试错误报表,系统会在原理图中发生错误的位置设置红色符号,提示错误的位置,方便用户进行修改。改正错误后,再进行电气法则测试,直到报告文件中不出现错误的标记,这样我们完成了初步的电路原理图的设计工作。

集成电路设计与仿真篇8

关键词:multisim;高频电子线路;振幅调制;丙类功放

中图分类号:tp274文献标识码:a

文章编号:1004-373X(2010)12-0048-03

applicationofmultisiminHighFrequencyCircuitexperiment

ZHanGning,XinXiu-fang

(Departmentofphysicsandinformationengineering,ShangqiunormalUniversity,Shangqiu476000,China)

abstract:themultisimsimulationtoolisintroducedinexperimentalteachingforassistedanalysisanddesigntosolvetheproblemsexistedintraditionalhighfrequencycircuitexperiment.theclass-Chigh-frequencyresonancepoweramplifier,amplitudemodulationanddemodulationaresimulatedbymultisim,whicharetypicalcircuitsofhighfrequencycircuit.alloftheresultsareidenticalwiththetheory,anditisconvenienttochangetheparametersandcomponentofcircuit,aswellasobservetheworkingflowofcircuitindifferentconditions.thevirtualexperimentisintegratedwithtraditionalexperiment,whatcanimprovethestudents′operationalandgropingability.

Keywords:multisim;highfrequencycircuit;amplitudemodulation;class-Cpoweramplifier

0引言

高频电路在通信系统和设备中占有重要的地位,是电子信息工程专业的必修课,是理论和技术性都很强的一门课程。高频电路实验是对专业理论学习的补充、延伸、巩固和提高。通过实验教学达到加深理解所学的理论知识,培养理论联系实际、分析与处理实际问题的能力。但是高频实验中存在着一个最大的问题就是实验不好做,由于实验对仪器精度要求高,高频电路易受外界的干扰,分布电容、分布电感的存在对实验电路影响大,实验调试难等导致了大多数学生都没有耐心和信心去完成实验内容,从而使得最后的实验效果不理想[1]。multisim2001是当今世界上著名的电路仿真标准工具之一,由于它输入信号的幅度、频率和相位都可以控制到精确数值,实验频率可以很高,用数字仪器仪表测量,提高了实验精确度,改善了实验质量。它还为分析与设计电路提供了强大的计算机仿真工具。因此,利用它对高频电路进行仿真分析,不仅方便、经济,而且省时、高效,具有很高的实用价值。文章将结合高频实验的典型电路为例阐述multisim2001在高频电路实验教学中的应用。

1multisim2001的主要功能和特点

multisim是interactiveimagetechnologies(electronicsworkbench)公司推出的以windows为基础的仿真工具,该软件提供了具有多种测试仪器、元器件品种齐全的虚拟电子工作平台,由此摆脱了实验室条件的限制。通过计算机用该软件对学生进行有关虚拟测试仪器使用、电路设计与仿真、性能分析、时序测试等训练,具有丰富的仿真分析能力。

作为虚拟的电子工作平台,multisim2001提供了较为详细的电路分析方法,包括电路的直流工作点分析、交流分析、瞬态分析、稳态分析、离散傅里叶分析、噪声分析、失真分析、直流扫描分析、灵敏度分析、温度扫描分析、零/极点分析、传递函数分析、最坏情况分析、蒙特卡罗分析、批处理分析、用户自定义分析和射频电路分析等。multisim2001既可对模拟或数字电路分别进行仿真,也可进行数模混合仿真,还具有射频电路的仿真功能,特别是先进的高频仿真和设计功能是目前众多通用电路仿真软件所不具备的[2]。

2multisim2001用于高频电路实验仿真

multisim2001软件进行仿真分析的基本步骤为:根据原理和设计需要,创建仿真电原理图,然后根据实际情况设置好电路图选项,设定仿真分析方法,打开仿真开关,运行所设计好的电路,借助仿真仪器,即可得到所需仿真结果,同时结果还可以输出为文件和数据进┮徊姜分析处理[3-6]。

2.1丙类功率放大器的仿真研究

进入multisim2001工作窗口绘制电路。谐振回路作为晶体管的负载,其谐振频率为信号源的频率对晶体管集电极电流进行选频滤波。为晶体管提供基极的负偏置电压,使放大器工作于丙类状态,直流电源提供直流能量。丙类功率放大器的作用原理都是利用输入到基极的信号,来控制集电极的直流电源所供给的直流功率,使之转变为交流信号功率输出[7-8]。

2.1.1集电极电流的仿真

为了研究集电极的电流,用10kΩ的电阻作为晶体管的负载,信号源频率改为1kHz,由于负载为纯电阻则负载上电压的波形与电流波形的形状是一致的,接入oscilloscope观察其输出端电压的波形。

当信号源幅值较小时晶体管工作于欠压状态或者临界,其集电极电流如图1(a)所示尖顶脉冲。该尖顶脉冲为与输入信号周期相同的周期信号,其半导通角小于90°。当信号源幅值较大时晶体管工作于过压状态,其集电极电流为图1(b)所示的凹顶脉冲。该凹顶脉冲同样是为与输入信号周期相同的周期信号,半导通角也小于90°,但是比欠压状态时导通角的大。在上述两种情况下集电极电流包含很多谐波,与输入信号相比失真很大,因此不能用于低频率功率放大,只能用于采用调谐回路作为负载的谐振功率放大。

图1输出端电压波形

2.1.2输出电压的分析

使并联谐振回路谐振与基频,那么它对于基频呈很大的纯电阻性阻抗,而对谐波的阻抗则很小,他们的值与谐振基频之值相比可视为短路,因此,并联谐振电路由于通过集电极电流所产生的电位降几乎只含有基频。这样集电极电流的失真虽然很大,但由于谐振回路的这种滤波作用,仍然能够得到正弦波的输出,如图2所示。回路的这种滤波作用可从能量的观点解释。回路是由储能元件L1,L2,C构成的。在集电极电流通过的期间,回路储存能量;而在电流截止的期间,回路释放能量,这样就维持了回路中振荡电流的连续性[7]。

图2丙类功率放大器的输出波形

2.1.3功率以及集电极效率

为了研究输出功率和集电极效率在电路中接入┝礁霆瓦特计(wattmeter)。在信号源为2V时分别测量直流电压源提供的功率和负载上所得到的功率分别为1.995mw,1.539mw。那么根据集电极效率的定义可得集电极效率为77.1428%。

2.2振幅调制与解调的仿真研究

振幅调制与解调电路如图3所示。

图3振幅调制与解调电路

为了可以系统地掌握振幅调制与解调,建立如图3所示电路图。该电路包括振幅调制与解调部分。振幅调制部分利用调制信号的大小控制高频载频信号的振幅,使其随调制信号波形的变化而呈线性变化,其他参数(频率与相位)不变。解调部分从已调制的高频振荡中恢复出原来的调制信号。

前半部分为振幅调制电路。调制信号频率为1kHz,幅度为200mV的小信号,载波频率为100kHz,幅度为1V的大信号。非线性元件二极管D2的导通与截止完全由载波控制。经过二极管后将产生┮幌盗歇的频率分量。L1与C1构成一带通滤波器其中心频率为载波频率,从二极管所产生的一系列的频率分量中取出所需要的100kHz,101kHz,99kHz频率分量即调幅波的成分,其波形如图4所示。由于调制信号为正弦波则其调幅度为:

ma=1/2(Vmax-Vmin)V0=1/2(Vmax-Vmin)1/2(Vmax+Vmin)=

1/2(732.9-459.2)1/2(732.9+459.2)=0.236

利用频谱分析仪(Spectrumanalyzer)可以观察到调幅波的频谱如图5所示。从图5可以看出调幅波中含有100kHz,101kHz,99kHz三个频率分量,这与理论相符合。对于该单音频调制信号的调幅波其带宽为2Ω即2kHz。

图4调幅波波形

图5调幅波的频谱

合适地设定解调电路的原件参数后进行仿真得到如图6所示的波形。从图6可以看出检波器的输出为波动很小的锯齿波,与高频调幅波的包络基本一致。

图6包络检波器的输出波形

3结语

用仿真软件multisim2001对单调谐回路谐振放大器和振幅调制与解调电路进行了仿真,结果显示与理论基本相同,学生通过仿真,可对该单元电路有初步认识。学生在仿真调试的界面上,可以很方便地改变元件或电路中参数,观察不同条件下电路的工作情况[9]。实验仿真软件用到的元器件与仪器都是理想的,而实际的元器件都存在一定的误差,其参数也不可能是理想的值,实验仿真的结果跟实际电路实验总会存在一定的差异[10]。因此,将虚拟实验和传统实验有机结合起来,能更好地提高学生的动手能力和探索精神。

参考文献

[1]苏丹凤.高频电子线路实验教学改革的探索[J].人力资源管理,2009,2(9):171-172.

[2]田胜军,秦宣云.基于multisim2001的高频电路分析与仿真[J].现代电子技术,2006,29(8):100-102.

[3]王希成,程永进,陈远金.基于multisim8的高频电路仿真研究[J].电脑知识与技术,2005,12(6):100-102.

[4]张卓勤.multisim2001及其在电子设计中的应用[m].西安:西安电子科技大学出版社,2003.

[5]王冠华.multisim10电路设计及应用[m].北京:国防工业出版社,2008.

[6]于海勋,郑长明.高频电路实验与仿真[m].北京:科学出版社,2005.

[7]张肃文.高频电子线路[m].北京:高等教育出版社,2007.

[8]曾兴雯.高频电子线路[m].北京:高等教育出版社,2005.

集成电路设计与仿真篇9

【关键词】altiumDesigner9;仿真;定时控制系统

SimulationapplicationofaltiumDesigner9inproject

YoupengZhaiiXiao-dongHuming

(XuHaiCollege,ChinaUniversityofminingandtechnologyJiangsuXuzhou221008)

【abstract】inordertoadapttochangingelectronicdesigntechnology,improveuserproductivityandreducedifficultyoftheworkofpCBdesign,studythesimulationapplicationofaltiumDesigner9inproject.DesignofavarietyofspecificfunctionspCBoccupiedaveryimportantpositioninengineeringpractice.UsingaltiumDesigner9todesignprincipleandsimulatecangreatlyshortentheprojectcompletiontime,improvethesuccessrateandefficiencyofdesign.Studythetimingcontrolsystemofmine,designschematicoftimingdisplayandsimulate,resultreflecteddesignideatruly.ResearchshowsthatusingthesimulationcanverifythedesignresultduringthedesignofpCB,improvethesuccessrateofdesign.

【Keywords】altiumdesigner9;simulate;timingcontrolsystem

1煤矿井下定时控制系统计时显示电路方案的选择

煤矿井下定时控制系统是采矿作业中非常重要的组成部分,关系到各部分协同工作的一致性。计时显示电路是定时控制系统中最基础的部分。实现方案采用脉冲电路、计数电路和显示电路的组合。利用altiumDesigner9对设计电路进行原理图设计,通过仿真功能验证设计结果。

1.1脉冲电路

定时控制系统计时准确的基础是脉冲电路。方案中选用的是晶振分频实现秒脉冲。

1.2计数电路

计数电路由计数器组成,方案选用74ls290实现计数电路,将74ls290接成十进制计数器,计数脉冲从外部接入,计时电路分为小时、分钟、秒三部分。

1.3显示电路

方案选用CD4511译码器和数码管实验显示电路。

2电路仿真及印刷电路板设计

电路实现方案确定好后就要进行印刷电路板设计及电路仿真。

2.1altiumDesigner9介绍

altium于2009年7月推出了protel系列的最新高端版本altiumDesigner9。altiumDesigner9,它是完全一体化电子产品开发系统的一个新版本,也是业界第一款也是唯一一种完整的板级设计解决方案。

altiumDesigner是业界首例将设计流程、电路仿真、集成化pCB设计、可编程器件(如FpGa)设计和基于处理器设计的嵌入式软件开发功能整合在一起的产品,一种同时进行pCB和FpGa设计以及嵌入式设计的解决方案,具有将设计方案从概念转变为最终成品所需的全部功能。

这款最新高端版本altiumDesigner9除了全面继承包括99Se,protel2004在内的先前一系列版本的功能和优点以外,还增加了许多改进和很多高端功能。altiumDesigner9拓宽了板级设计的传统界限,全面集成了FpGa设计功能和SopC设计实现功能,从而允许工程师能将系统设计中的FpGa与pCB设计以及嵌入式设计集成在一起。

集成电路设计与仿真篇10

【关键词】电子工程设计eDa技术研究分析

随着电子技术的发展革新,应用系统逐步朝向大容量、小型化、快速化的方向发展。数字化的设计系统也逐步由组合芯片向单片系统发展。eDa技术不仅带来了电子产品领域和系统开发的革命性变革,这也是科技发展与提高的必然产物。对于eDa技术的了解和对其在电子工程设计中的关键性分析都是十分有意义的。

1eDa技术概述

所谓eDa技术,就是电子设计自动化,由Cae、CaD、Cam等计算机概念发展出现。eDa技术以计算机为主要工具,集合了图形学、数据库、拓扑逻辑、优化理论、计算数学、图论等学科,形成最新的理论体系,是微电子技术、计算机信息技术、电路理论、信号处理和信号分析的结晶。现代化的eDa技术具备很多特点,普遍采用了“自顶向下”的程序进行设计,保证了设计方案的整体优化,eDa技术的自动化程度更高,在设计过程中能够进行各类级别的调试、纠错和仿真,设计者能够及时发现结构设计的错误,避免了设计上的工作浪费,设计人员也能抛开细枝末节的问题,将更多精力集中于系统开发,保证了设计的低成本、高效率、循环快、周期短。eDa技术还能实现并行操作,建立起并行工程框架的结构环境,支持更多人同时并行电子工程的技术开发和设计。

2eDa技术发展

电子工程设计的eDa技术自出现以来,大致可以分为三个历史时期:

2.1初级阶段

大约在二十世纪的七十年代,早期的eDa技术处于CaD阶段,出现了小规模的集成电路,由于传统手工在制图设计中的集成电路和集成电路板的花费大、效率低、周期长,借助于计算机技术的设计印刷,采取了CaD工具实现布图布线的二维平面编辑和分析,取代了高重复性的传统工艺。

2.2发展阶段

到了二十世纪八十年代,eDa技术进入了发展完善的阶段。集成电路的规模逐渐扩大,电子系统日益复杂化,人们深入研究软件开发,将CaD集成为系统,加强了电路的机构设计和功能设计,这一时期的eDa技术已经开始延伸到半导体芯片设计的领域。

2.3成熟阶段

经过了长期的发展,直至二十世纪九十年代,微电子技术的发展突飞猛进,单个芯片的集成就能够达到几百万或是几千万甚至上亿的晶体管,这种科技现状对eDa技术提出更高的要求,推动了eDa技术的发展。各类技术公司陆续开发出大规模eDa软件系统,出现了系统级仿真、高级语言描述和综合技术的eDa技术。

3eDa技术软件

3.1ewB软件

所谓ewB是一种基于pC的电子设计软件,具备了集成化工具、仿真器、原理图输入、分析、设计文件夹、接口等六大特点。

3.2pRoteL软件

该技术软件广泛应用了prote199,主要由电路原理图的设计系统和印刷电路板的设计系统两大部分组成。高层次的设计技术在近年的国际eDa技术领域开发、研究、应用中成为热门课题,并且迅速发展,成果显著。该领域主要包括了硬件语言描述、高层次模拟、高层次的综合技术等,伴随着科技水平的提升,eDa技术也必然会朝向更高层次的自动化设计技术不断发展。

4eDa在电子工程设计中的应用技术流程

近年来的eDa技术深入到了各个领域,包括了通信、医药、化工、生物、航空航天等等,但是在电子工程设计的领域中应用的最为突出,主要利用了eDa技术为虚拟仪器的测试产品提供了技术支持。eDa技术在电子工程设计的领域中,主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

4.1源程序

通常情况下,电子工程设计首要的步骤就是通过eDa技术领域中的器件软件,利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用,都需要应用eDa工具进行排错和编译的工作,文件能够实现格式的转化,为逻辑综合分析提供了准备工作。只要输入了源程序,就能够实现仿真器的仿真。

4.2逻辑综合

在源程序中应用了实现了VHDL的格式转化之后,就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言,这就是逻辑综合。通过逻辑综合的过程,这可以看作是电子设计的目标优化过程,将文件输入仿真器,实施仿真操作,保持功效和结果的一致性。

4.3时序仿真

在实现了逻辑综合透配之后,就可以进行时序仿真的环节了,所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中,开始部分仿真。VHDL仿真器考虑到了器件特性,所以适配后的时序仿真结果较为精确。

4.4仿真分析

在确定了电子工程设计方案之后,利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用eDa技术实现系统环节的函数传递,选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中,能够应用到方案构思和理论验证等方面。

5结束语

伴随着科学的发展,技术的革新,eDa技术的领域也在向高层次的技术推广和开发,成效十分显著。本篇论文我们对eDa技术的相关信息进行了详细的分析很研究,研究表明,eDa技术对于我国的电子工程设计改革具有巨大的推动力,基于eDa技术领域的电子产品在专业化程度和使用性能上都要比传统的设计方案制造的产品更加优化。将eDa技术应用到电子工程设计的领域当中,对于电子产品的优化和工作效率的提高以及产品附加值的拓展都有很大的作用。

参考文献

[1]白杨.电子工程设计中eDa技术的应用[J].科海故事博览.科技探索,2012(6):242.

[2]于洋.分析eDa技术在电子工程设计中的应用[J].电子制作,2012(12):83.

[3]徐冠宇.浅谈电子工程设计的eDa技术[J].中国科技纵横,2011(9):328.