首页范文大全集成电路版图设计的重要性十篇集成电路版图设计的重要性十篇

集成电路版图设计的重要性十篇

发布时间:2024-04-30 01:25:49

集成电路版图设计的重要性篇1

 

集成电路(integratedCircuit)产业是典型的知识密集型、技术密集型、资本密集和人才密集型的高科技产业,是关系国民经济和社会发展全局的基础性、先导性和战略性产业,是新一代信息技术产业发展的核心和关键,对其他产业的发展具有巨大的支撑作用。经过30多年的发展,我国集成电路产业已初步形成了设计、芯片制造和封测三业并举的发展格局,产业链基本形成。但与国际先进水平相比,我国集成电路产业还存在发展基础较为薄弱、企业科技创新和自我发展能力不强、应用开发水平急待提高、产业链有待完善等问题。在集成电路产业中,集成电路设计是整个产业的龙头和灵魂。而我国集成电路设计产业的发展远滞后于计算机与通信产业,集成电路设计人才严重匮乏,已成为制约行业发展的瓶颈。因此,培养大量高水平的集成电路设计人才,是当前集成电路产业发展中一个亟待解决的问题,也是高校微电子等相关专业改革和发展的机遇和挑战。[1_4]

 

一、集成电路版图设计软件平台

 

为了满足新形势下集成电路人才培养和科学研究的需要,合肥工业大学(以下简称"我校”从2005年起借助于大学计划。我校相继开设了与集成电路设计密切相关的本科课程,如集成电路设计基础、模拟集成电路设计、集成电路版图设计与验证、超大规模集成电路设计、 aSiC设计方法、硬件描述语言等。同时对课程体系进行了修订,注意相关课程之间相互衔接,关键内容不遗漏,突出集成电路设计能力的培养,通过对课程内容的精选、重组和充实,结合实验教学环节的开展,构成了系统的集成电路设计教学过程。56]

 

集成电路设计从实现方法上可以分为三种:全定制(fullcustom)、半定制(Semi-custom)和基于FpGa/CpLD可编程器件设计。全定制集成电路设计,特别是其后端的版图设计,涵盖了微电子学、电路理论、计算机图形学等诸多学科的基础理论,这是微电子学专业的办学重要特色和人才培养重点方向,目的是给本科专业学生打下坚实的设计理论基础。

 

在集成电路版图设计的教学中,采用的是中电华大电子设计公司设计开发的九天eDa软件系统(ZenieDaSystem),这是中国唯1的具有自主知识产权的eDa工具软件。该软件与国际上流行的eDa系统兼容,支持百万门级的集成电路设计规模,可进行国际通用的标准数据格式转换,它的某些功能如版图编辑、验证等已经与国际产品相当甚至更优,已经在商业化的集成电路设计公司以及东南大学等国内二十多所高校中得到了应用,特别是在模拟和高速集成电路的设计中发挥了强大的功能,并成功开发出了许多实用的集成电路芯片。

 

九天eDa软件系统包括设计管理器,原理图编辑器,版图编辑工具,版图验证工具,层次版图设计规则检查工具,寄生参数提取工具,信号完整性分析工具等几个主要模块,实现了从集成电路电路原理图到版图的整个设计流程。

 

二、集成电路版图设计的教学目标

 

根据培养目标结合九天eDa软件的功能特点,在本科生三年级下半学期开设了为期一周的以九天eDa软件为工具的集成电路版图设计课程。

 

在集成电路版图设计的教学中,首先对集成电路设计的_些相关知识进行回顾,介绍版图设计的基础知识,如集成电路设计流程,CmoS基本工艺过程,版图的基本概念,版图的相关物理知识及物理结构,版图设计的基本流程,版图的总体设计,布局规划以及标准单元的版图设计等。然后结合上机实验,讲解Unix和Linux操作系统的常用命令,详细阐述基于标准单元库的版图设计流程,指导学生使用ZeniSe绘制电路原理图,使用ZenipDt进行nmoS/pmoS以及反相器的简单版图设计。在此基础上,让学生自主选择_些较为复杂的单元电路进行设计,如数据选择器、moS差分放大器电路、二四译码器、基本RS触发器、六管moS静态存储单元等,使学生能深入理解集成电路版图设计的概念原理和设计方法。最后介绍版图验证的基本思想及实现,包括设计规则的检查(DRC),电路参数的检查(eRC),网表一致性检查(LVS),指导学生使用ZeniVeRi等工具进行版图验证、查错和修改。7]

 

集成电路版图设计的教学目标是:

 

第熟练掌握华大eDa软件的原理图编辑器ZeniSe、版图编辑模块ZenipDt以及版图验证模块ZeniVeR丨等工具的使用;了解工艺库的概念以及工艺库文件technology的设置,能识别基本单元的版图,根据版图信息初步提取出相应的逻辑图并修改,利用eDa工具ZSe画出电路图并说明其功能,能够根据版图提取单元电路的原理图。

 

第二,能够编写设计版图验证命令文件(commandfile)。版图验证需要四个文件(DRC文件、eRC文件、ne文件和LVS文件)来支持,要求学生能够利用ZeniVeR丨进行设计规则检查DRC验证并修改版图、电学规则检查(eRC)、版图网表提取(ne)、利用LDC工具进行LVS验证,利用LDX工具进行LVS的查错及修改等。

 

第三,能够基本读懂和理解版图设计规则文件的含义。版图设计规则规定了集成电路生产中可以接受的几何尺寸要求和可以达到的电学性能,这些规则是电路设计师和工艺工程师之间的_种互相制约的联系手段,版图设计规则的目的是使集成电路设计规范化,并在取得最佳成品率和确保电路可靠性的前提下利用这些规则使版图面积尽可能做到最小。

 

第四,了解版图库的概念。采用半定制标准单元方式设计版图,需要有统一高度的基本电路单元版图的版图库来支持,这些基本单元可以是不同类型的各种门电路,也可以是触发器、全加器、寄存器等功能电路,因此,理解并学会版图库的建立也是版图设计教学的一个重要内容。

 

三、CmoS反相器的版图设计的教学实例介绍

 

下面以一个标准CmoS反相器来简单介绍一下集成电路版图设计的一般流程。

 

1.内容和要求

 

根据CmoS反相器的原理图和剖面图,初步确定其版图;使用eDa工具pDt打开版图编辑器;在版图编辑器上依次画出p管和n管的有源区、多晶硅及接触孔等;完成必要的连线并标注输入输出端。

 

2.设计步骤

 

根据CmoS反相器的原理图和剖面图,在草稿纸上初步确定其版图结构及构成;打开终端,进入pdt文件夹,键入pdt,进入ZenipDt版图编辑器;读懂版图的层次定义的文件,确定不同层次颜色的对应,熟悉版图编辑器各个命令及其快捷键的使用;在版图编辑器上初步画出反相器的p管和n管;检查画出的p管和n管的正确性,并作必要的修改,然后按照原理图上的连接关系作相应的连线,最后检查修改整个版图。

 

3.版图验证

 

打开终端,进入zse文件夹,键入zse,进入ZeniSe原理图编辑器,正确画出CmoS反相器的原理图并导出其网表文件;调出版图设计的设计规则文件,阅读和理解其基本语句的含义,对其作相应的路径和文件名的修改以满足物理验证的要求;打开终端,进入pdt文件夹,键入pdt,进入ZenipDt版图编辑器,调出CmoS反相器的版图,在线进行DRC验证并修改版图;对网表一致性检查文件进行路径和文件名的修改,利用LDC工具进行LVS验证;如果LVS验证有错,贝懦要调用LDX工具,对版图上的错误进行修改。

 

4.设计提示

 

要很好的理解版图设计的过程和意义,应对moS结构有一个深刻的认识;需要对器件做衬底接触,版图实现上衬底接触直接做在电源线上;接触孔的大小应该是一致的,在不违反设计规则的前提下,接触孔应尽可能的多,金属的宽度应尽可能宽;绘制图形时可以多使用〃复制"操作,这样可以大大缩小工作量,且设计的图形满足要求并且精确;注意p管和n管有源区的大小,一般在版图设计上,p管和n管大小之比是2:1;注意整个版图的整体尺寸的合理分配,不要太大也不要太小;注意不同的层次之间应该保持一定的距离,层次本身的宽度的大小要适当,以满足设计规则的要求。四、基本moS差分放大器版图设计的设计实例介绍在基本moS差分放大器的版图设计中,要求学生理解构成差分式输入结构的原理和组成结构,画出相应的电路原理图,进行eRC检查,然后根据电路原理图用pDt工具上绘制与之对应的版图。当将基本的版图绘制好之后,对版图里的输入、输出端口以及电源线和地线进行标注,然后利用几何设计规则文件进行在线DRC验证,利用版图与电路图的网表文件进行LVS检查,修改其中的错误并优化版图,最后全部通过检查,设计完成。

 

五、结束语

 

集成电路版图设计的教学环节使学生巩固了集成电路设计方面的理论知识,提高了学生在集成电路设计过程中分析问题和解决问题的能力,为今后的职业生涯和研究工作打下坚实的基础。因此,在今后的教学改革工作中,除了要继续提高教师的理论教学水平外,还必须高度重视以eDa工具和设计流程为核心的实践教学环节,努力把课堂教学和实际设计应用紧密结合在一起,培养学生的实际设计能力,开阔学生的视野,在实验项目和实验内容上进行新的探索和实践。

 

参考文献:

 

[1]孙玲.关于培养集成电路专业应用型人才的思考[J].中国集成电路,2007,(4):19-22.

 

[2]段智勇,弓巧侠,罗荣辉,等.集成电路设计人才培养课程体系改革[J].电气电子教学学报,2010,(5):25-26.

 

[3]唐俊龙,唐立军,文勇军,等.完善集成电路设计应用型人才培养实践教学的探讨J].中国电力教育,2011,(34):35-36.

 

[4]肖功利,杨宏艳.微电子学专业丨C设计人才培养主干课程设置[J].桂林电子科技大学学报,2009,(4):338-340.

 

[5]窦建华,毛剑波,易茂祥九天”eDa软件在"中国芯片工程〃中的作用[J].合肥工业大学学报(社会科学版),2008,(6):154-156.

 

[6]易茂祥,毛剑波,杨明武,等.基于华大eDa软件的实验教学研究[J].实验科学与技术,2006,(5):71-73.

集成电路版图设计的重要性篇2

【关键词】集成电路版图;CD4011B;CmoS工艺

1.引言

集成电路产业是最能体现知识经济特征的高技术产业[1]。以集成电路为主要技术的微电子产业的高度发展促进了现代社会的电子化、信息化、自动化,并引起了人们社会生活的巨大变革。集成电路布图设计(以下简称版图设计)在集成电路设计中占有十分重要的作用。版图设计是指集成电路中至少有一个是有源元件的两个以上元件和部分或者全部互连线路的三维配置,或者为制造集成电路而准备的上述三维配置[2]。集成电路芯片流片成本高,必须保证较高的成品率,版图设计人员应具有扎实理论基础和丰富的实践经验。典型芯片是经过实践检验性能优越,所以,通过研究已有的典型芯片版图是提高设计能力的有效途径。

版图设计是在一定的工艺条件基础上根据芯片的功能要求而设计的。目前,集成电路的主要工艺有三种,分别是双极工艺、CmoS工艺和BiCmoS工艺[3][4]。其中CmoS工艺芯片由于功耗低、集成度高等特点而应用最广泛,所以,研究CmoS工艺芯片版图具有更重要的意义。

本文对CD4011B芯片进行了逆向解析,通过研究掌握了该芯片的设计思想和单元器件结构,对于提高CmoS集成电路设计水平是十分有益的。

2.芯片分层拍照

3.单元结构

4.电路图和仿真

5.结论

本文采用化学方法对CD4011B芯片进行了分层拍照,提取了电路图,仿真验证正确。从芯片的版图分析,该芯片采用nmoS场效应晶体管、pmoS场效应晶体管、pn结二极管和基区电阻等器件单元,四个与非门版图一致且对称布局。该芯片采用典型的CmoS工艺,为了节省面积采用叉指场效应晶体管,输入和输出端采用防静电保护结构。电路为典型的CmoS与非门电路。该芯片的版图布局体现了设计的合理性和科学性。

参考文献

[1]雷瑾亮,张剑,马晓辉.集成电路产业形态的演变和发展机遇[J].中国科技论坛,2013,7:34-39.

[2]汪娣娣,丁辉文.浅析我国集成电路布图设计的知识产权保护——我国集成电路企业应注意的相关问题[J].半导体技术,2003,28:14-17.

[3]朱正涌,张海洋,等.半导体集成电路[m].北京:清华大学出版社,2009.

[4]曾庆贵.集成电路版图设计[m].北京:机械工业出版社,2008.

[5]王健,樊立萍.CD4002B芯片解析在版图教学中的应用[J].中国电力教育,2012,31:50-51.

[6]Hastings,a.模拟电路版图的艺术[m].北京:电子工业出版社,2008.

作者简介:

王健(1965—),男,辽宁沈阳人,硕士,沈阳化工大学信息工程学院副教授,研究方向:微机电系统设计。

集成电路版图设计的重要性篇3

关键词:共模偏差;寄生参数;并联;Calibre

中图分类号:tn710?34文献标识码:a文章编号:1004?373X(2014)03?0122?03

amethodofreducingthecommonmodedeviationinlayout

SHiQin?qin,ZHanGKe?feng,RenZhi?xiong

(HuazhongUniversityofScienceandtechnology,wuhan430074,China)

abstract:thecommonmodedeviationofdifferentialoutputsignalsiscommonplaceduringthelayoutdesignprocess.atwo?stageoperationalamplifierwithcommonfeed?back(CmFB)structureistakenforexample,theparasiticcapacitorsC+CCandparasiticresistorsRofthelayoutareextractedtohavepost?simulation,throughcomparison,itisprovedthatthecommonmodedeviationismainlyeffectedbyparasiticresistors.accordingtotheresult,theparasiticresistorRoflayoutisscreenedbyCalibre,andthemainlineaffectsthecommonmodedeviationis.thecommonmodedeviationofthedifferentialoutputsignalsisreducedfrom0.1723mVto15.559μVbydecreasingtheparasiticresistorsthroughchangingthelinesintoparallelconnection.

Keywords:commonmodedeviation;parasiticparameter;parallelconnection;Calibre

0引言

在版图设计过程中经常会遇到差分输出信号的共模点存在偏差等问题,尤其在设计高性能运算放大器的版图过程中更是常见。运放是模拟电路的基础,在LpF、VGa、aDC等电路中应用广泛[1?2],如何设计一个高性能的运放对模拟电路设计者而言尤为重要。设计者在电路级一般较容易实现高性能的要求,但是版图设计过程中由于寄生效应的影响[3?5],造成运放DC工作点发生偏移,严重时会直接导致电路不能正常工作。差分信号的共模点偏差是运放版图设计过程中常见的一个问题,版图设计者通常都会重点考虑版图布局对称性的要求而忽略某些敏感信号线的寄生效应对电路的影响。本文拟提出一种方法,通过CalibrexRC提取寄生参数[6]进行后仿,采用排除法得到影响差分电路版图共模点的走线,然后通过适当的优化设计减小该走线的寄生效应,从而使版图的后仿结果达到设计要求。

1方法介绍

1.1问题说明

本文以带共模反馈的两级运算放大器电路为例说明该方法,电路结构如图1所示,opa1的差分输入信号Vin_p,Vin_n经两级放大后输出差分信号VoUt_p,VoUt_n,opa2为共模反馈电路,通过反馈电压[VB1,][VB2]使运放输出信号的直流点稳定。[Vbias1,][Vbias2,][Vbias3]为该运算放大器提供偏置电压。

对于一个全差分运算放大器来说,进行版图规划和布局时,特别需要注意对称性,本版图采用tSmC0.18μmCmoS设计工艺,完成图1电路的版图设计之后,进行DRC,eRC验证[7?8];接下来运行CalibrexRC,提取R+C+CC寄生参数,生成CalibreView,用Spectre仿真config[9]得到版图的后仿结果如图2所示,从图2可以看到共模反馈运算放大器的layout的共模电平相差0.1723mV。

1.2解决过程

分析以上仿真结果,共模点的偏差一般来自于版图走线寄生电阻的影响,寄生电容一般影响交流信号的摆幅和稳定性,所以首先验证这一推断。再次运行CalibrexRC,分别提取寄生电容C+CC和寄生电阻R并进行后仿,后仿结果对比如图3所示,只提取寄生电容(见图3(a))后仿差分输出几乎无共模偏差,而只提取寄生电阻产生了严重的共模偏差,很显然,共模点的偏差主要由于寄生电阻的影响。

图2后仿差分输出电压(提取R+C+CC)

图3提取不同寄生参数后仿结果对比

为了对版图每条走线所贡献的寄生参数进行分析,运行CalibreRVe,结果如图4所示。

图4运行CalibreRVe的寄生参数结果

通过对寄生电阻进行筛选,可确定影响版图共模点值的主要走线,如图4所示主要有16条,将RVe的结果复制到excel,同时在运行CalibrexRC时去掉以上16条线,即不提取这些走线的寄生参数,通过验证可知在没有提取这16条线的情况下对版图进行后仿结果正确,所以接下来的工作就是采用排除法找出对版图影响最大的走线。

再次运行CalibrexRC,提取R+C+CC,在outputs选项中将以上16条线规避,图形界面如图5所示;然后每次删掉一组差分信号或者单个信号,迭代运行并仿真即可找到影响版图性能的走线。

图5采用规避方法运行CalibrexRC

由仿真结果可知,在本文选择的实例中走线Xi118/net47的寄生参数导致运放输出共模点不对称,反馈到版图设计,对该走线进行优化。

1.3版图优化

版图优化的主要目的是减小寄生效应,如果要减小寄生电阻主要采用并联走线的方式,减小电容主要采用串联走线的方式。金属孔不是越多越好,孔本身存在寄生电阻,在满足电流密度的情况下预留适当的余度进行打孔。

优化示意图如图6所示,可以看到最初的版本上下两排金属线的寄生电阻直接串联,通过加入两条金属线将上下两排走线连接起来,由于金属线并联的关系可以减小整体金属寄生电阻,提高版图的性能,实际优化对比如图7所示。

图6版图优化示意图

图7实际优化对比

1.4结果分析

经过以上优化过程,对图1电路的版图重新运行CalibrexRC提取R+C+CC,仿真结果如图8所示,可以看到输出差分信号的共模点由之前的0.1723mV减小为15.559μV,如果进一步对版图进行优化,或者在迭代的过程中多加入几条金属线的影响,该偏差会进一步减小。

图8优化后版图后仿结果

2结论

本文提出的方法可大大减小在版图设计过程中产生的差分信号共模点偏差,通过对CalibreRVe的仿真结果分析,结合CalibrexRC的使用和排除法找到影响版图性能的走线,然后采用相应措施减小该走线的寄生效应提升版图后仿性能。这种方法可应用于运算放大器、混频器等射频模拟集成电路的版图设计。

参考文献

[1]RaVaViB.模拟CmoS集成电路设计[m].西安:西安交通大学出版社,2003.

[2]aLLenpe.CmoS模拟集成电路设计[m].2版.北京:电子工业出版社,2005.

[3]金善子.版图设计中的寄生参数分析[J].中国集成电路,2006(11):41?44.

[4]HaStinGSalan.模拟电路版图的艺术[m].2版.北京:电子工业出版社,2011.

[5]QUiRKmichael,SeRDaJulian.半导体制造技术[m].北京:电子工业出版社,2009.

[6]于涛,窦刚谊.基于Calibre工具的SoC芯片的物理验证[J].科学技术与工程,2007,7(5):836?838.

[7]mentorGraphicsCorporation.CalibrexRCuser′smanual[m].USa:mentorGraphicsCorporation,2009.

集成电路版图设计的重要性篇4

当今世界,计算机的发展已成为领导工业现代化进程的潮头军,自1946年世界第一台电子计算机诞生以来,短短的五十多年间,计算机作为一种现代化的高级工具以惊人的速度迅速地渗透到了社会生活的各个领域,引起了全球的技术革命。计算机技术的飞速发展离不开另一门产业的发展,即集成电路产业。因为集成电路的出现才使计算机摆脱了电子管、晶体管等原材料构件的束缚,逐步走向小型化,轻型化,高智能化,迅速走向了社会,走入了家庭。

集成电路产业的飞速发展,产生了许多新的法律问题,由于传统知识产权法的局限性以及集成电路及其布图设计本身存在着的特殊性,集成电路布图设计的法律保护问题也引起了法学界的极大关注。各国也纷纷就集成电路布图设计进行立法,以保护此种特殊性质的知识产权不受侵害。

我国早在1991年国务院就已将《半导体集成电路布图设计保护条例》列入了立法计划,经过10年的酝酿,我国的《集成电路布图设计保护条例》终于于2001年3月28日由国务院第36次会议通过,并于2001年10月1日起施行。这是目前我国保护集成电路布图设计知识产权的一部重要法规。虽然它是一部行政法规,但经过试行一段时间到条件成熟后,将之上升为法律的形式是必然的趋势。我国采用专门立法的形式保护集成电路布图设计既尊重了国际知识产权保护的原则,又便于与国际法律接轨,而且这部条例既保护了集成电路布图设计专有权人的权益,又考虑到了国家和公众的利益,使技术进步不受到人为的限制。这一条例初步建立了我国集成电路布图设计的知识产权保护的理论体系,进一步完善了我国的知识产权法律制度。

一、集成电路和布图设计的概念与特点

集成电路是指半导体集成电路,即以半导体材料为基片,将至少有一个是有源元件的两个以上元件和部分或者全部互连线路集成在基片之中或基片之上,以执行某种电子功能的中间产品或者最终产品。一块集成电路通过控制电流在其电路中的流动来实现其功效。在计算机发展的初期,每个电路元件(如晶体管、电阻、电容等)都是用引线同电路中的其它元件相连接的。这种做法须耗费大量的劳动力与工时,且计算机制作成本很高,大量连线的存在使电流的流动距离增长,不仅影响了计算机工作的速度和可靠性,还引起电路功耗的增加,从而带来电路的散热以及要求有较高电压的电源等一系列的问题。这也正是最初计算机体积庞大、耗电量大、速度慢的根本原因。采用集成电路以后,这些问题就得到了解决:由于电路元件及连线实质上已成为一体,作为一块电路板上的不同元件,它们之间的电流交换速度大大增强,且电路的功耗亦大幅度降低,不仅提高了计算机的性能,还大大降低了计算机的成本。由于生产集成电路的主要原材料硅、铝、水等一些化合物并不昂贵,但经过加工以后得到的集成电路产品的价值往往可以达到其材料价值的几十倍,几百倍甚至上千倍。在其价值成本中,大部分都是知识、技术与信息所增加的附加价值。这种附加价值主要集中在以集成电路为载体而体现出来的人类智慧的结晶-布图设计的价值上。就象相同的磁带因为录制不同的歌曲其价值就会不同一样,用相同的技术工艺在同样的芯片上依不同的布图设计所制作出的集成电路,其价值也是不同的。好的布图设计制作出的芯片往往能具备更高的性能和工作速度。因此,集成电路的法律保护问题,归根结底在于对其布图设计的保护。

对布图设计,世界各国的称呼各有不同:美国称之为掩膜作品,(mask work),日本称之为电路布局(Circuit Layout),欧洲国家采用的是另一个英文单词topography(拓朴图),而世界知识产权组织(wipo)于1987年2月通过的《关于集成电路知识产权保护条约》(简称《wipo条约》或《华盛顿条约》)中则采用了Layout-design(布图设计)一词。这些词语字面上的表示虽各不相同,但其真正的含义都是相同的,即指集成电路中各种元件的三维配置。许多人认为布图设计只是一种设计图,就象建筑工程设计图一样。事实上布图设计与建筑工程设计图这种一般的二维设计图是不同的,它是一种有许多不同层面的三维设计,每一层面上又有许多复杂的电路布图装置图,而且最重要的是,真正可以用于实践的布图设计是经过了特殊的工艺按实物尺寸复制在玻璃板上,可以直接加工在芯片上的模本,即掩膜版。现在世界上虽已有一些更先进的模本技术,但是最终布图设计还是必须做成与集成电路产品实物一般大小的模本,才可算是完成了布图设计的制作。在生产过程中,这些模本是直接被“做”到产品中去成为产品的一部分,而不是象建筑设计图那样本身与实际的建造结果之间并无联系。一个小小的掩膜作品中所包含的电路设计图往往可以是几十张上千张甚至上万张。设计一组布图设计,需要付出巨大的创造性劳动,它代表着芯片开发中的主要投资,可占其成本的50%以上。布图设计作为人类智力劳动的成果,具有知识产权客体的许多共性特征,应当成为知识产权法保护的对象,其特点主要表现在:

(一)无形性。

布图设计作为一种元件的“三维配置”,这种配置方式本身是无形的、抽象的,是人类智慧的体现,但它可以通过有形的载体表现出来而为人所感知。当它被制作成芯片时,表现为一定的构形;当它被制成掩膜版时,表现为一定的图形;当它被输入计算机时,则以一定的数据代码的方式存储在磁盘之中。

(二)可复制性。

布图设计具有可复制性,但其可复制性与一般著作权客体的可复制性不同。当布图设计的载体为掩膜版时,它以图形方式存在,这时只要对全套掩膜版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘为载体时,同样可用通常的拷贝方法复制。当布图设计的载体为集成电路芯片时,它同样可以被复制,只是复制过程相对要复杂一些。复制者要先把芯片的塑料或陶瓷外壳打开,利用一台高分辨率的照相机,把顶上的金属联接层照下来,再用酸把这层金属腐蚀掉,对下面那层半导体材料照相,获得该层的掩膜作品。照完后利用相同的方法再照下一层,如此一步一步做下去,就可以得到这一芯片的全套掩膜,依靠这套掩膜就可以模仿生产该芯片。这种从集成电路成品着手,利用特殊技术手段了解其布图设计的方法被称为“反向工程”方法。这种方法虽需一定的技术要求,但是比起原开发者漫长艰辛的开发过程,其所花费的时间和精力都只是后者的若干分之一。

(三)表现形式的非任意性。

布图设计是与集成电路的功能相对应的。布图设计的表现形式要受到电路参数、实物产品尺寸、工艺技术水平、半导体材料结构和杂质分布等技术因素和物理规律的限制,因此开发新的功能相同或相似的集成电路,其布图设计不得不遵循共同的技术原则和设计原则,有时还要采用相同的线宽,甚至采用相同的电路单元。这就造成了对布图设计侵权认定难度的加大,有关这一点,笔者将在后文论述。

由以上特点可以看出,布图设计的无形性是知识产权客体的共性,可复制性是著作权客体的一个必要特征,表现形式的非任意性则是工业产权客体的特性,因此,布图设计成为了一种兼有著作权和工业产权客体双重属性的特殊知识产权客体,很难在传统的知识产权法律保护体系中得到完善的保护。因此要想求取良好适当的法律保护模式,就必须突破传统的界限。针对布图设计自身的特征,制定出专门的单行法律加以保护,这是世界上大多数国家的共识。我国也正是采用了此种立法方式。

二、我国集成电路布图设计知识产权保护的理论体系

我国集成电路布图设计的知识产权保护体系是在传统知识产权法理论的基础上,借鉴国外的一些理论和实践建立起来的。这一理论体系的核心概念即布图设计专有权。

(一)布图设计专有权的概念和要素

1、概念

布图设计专有权就是布图设计的创作人或者其他权利人对布图设计所享有的权利,具体来说,就是指国家依据有关集成电路的法律规定,对于符合一定手续和条件的布图设计,授予其创作人或其他人在一定期间内对布图设计进行复制和商业利用的权利。布图设计专有权作为一种独立的知识产权,既不属于专利权,也不属于著作权。而且,布图设计专有权是以布图设计为权利客体的,权利人对与布图设计有关的集成电路或其中所含的信息并不享有权利。

2、要素

布图设计专有权的要素包括三个,即布图设计专有权的主体、客体和内容。

(1)布图设计专有权的主体。

布图设计专有权的主体,即布图设计权利人,是指依照集成电路布图设计保护法的规定,对布图设计享有专有权的自然人、法人或其他组织。根据我国《集成电路布图设计保护条例》的规定,能够享有布图设计专有权的人主要有以下几类:

①布图设计创作者或合作创作者

布图设计的创作者或合作创作者即以自己的智力劳动单独或共同完成布图设计的人。由于布图设计的各个部分是密不可分的,具有整体性,缺少任何一部分布图设计都将无法完成预先希望达到的功能,因此,由多人共同创作完成的布图设计其权利只能作为一个整体由各创作人共同享有,即使各创作人所创作的部分能够与他人的部分相区分,他也不可能就这一部分设计单独享有权利。但是法律允许合作者就布图设计专有权的归属作出约定。

②主持创作布图设计的法人或组织

根据我国《集成电路布图设计保护条例》第9条第二款的规定:“由法人或者其他组织主持,依据法人或者其他组织的意志而创作,并由法人或者其他组织承担责任的布图设计,该法人或者其他组织是创作者。”由法人或组织主持创作的布图设计类似于版权法中的职务作品,其权利不由直接完成创作的人享有而由有关的单位享有。

③经约定可以享有权利的委托人

对于委托创作布图设计的情形,我国的规定是:“受委托创作的布图设计,其专有权的归属由委托人和受托人双方约定,未作约定或者约定不明的其专有权由受托人享有。”所以因受委托而完成的布图设计的专有权归属,首先依委托人与受托人的约定,双方未约定或约定不明的,由受托人也就是直接完成创作行为的人享有布图设计专有权。

④以上主体的权利继受人

布图设计权利人是自然人的,自然死亡之后,其专有权在法律规定的保护期内可依照继承法的规定转移。布图设计专有权属于法人或者其他组织的,法人或者其他组织变更、终止后,其专有权在法律规定的保护期内由承继其权利、义务的法人或者其他组织享有,没有承继其权利、义务的法人或者其他组织的,则布图设计进入公有领域。

另外,我国法律还规定外国人创作的布图设计首先在中国境内投入商业利用的,依照我国的法律可享有布图设计专有权。外国人创作的布图设计其他作者所属国同中国签订有关布图设计保护协议或与中国共同参加有关布图设计保护的国际条约的,也可依我国法享有布图设计专有权。

(2)布图设计专有权的客体。

《集成电路布图设计保护条例》中规定,布图设计专有权的客体是具有独创性的布图设计。这一规定与《wipo条约》①中的规定是一致的,我国已是该条约的正式签字国。布图设计的独创性是指该布图设计是创作者自己的智力劳动成果,并且在其创作时该布图设计在布图设计创作者和集成电路制造者中不是公认的常规设计。但如由常规设计组成的布图设计,其组合作为整体符合前述条件的,也是受到保护的客体。这一规定是为保护集成电路进一步发展而作的特别规定。我国法对布图设计的保护,不延及思想、处理过程、操作方法或者数学概念等。具体来说,一项布图设计要取得专有权,必须具备以下的条件:

①实质要件:申请保护的布图设计必须具有原创性。

具有原创性包括两层含义,一是指该布图设计必须是创作人自己智力劳动的成果,而非简单复制他人的布图设计。二是指该布图设计应具备一定的先进性,即它在创作完成时不能是当时集成电路产业中常用的,显而易见的或为人所熟知的。

对原创性的规定,大多数国家都大致相同,《wipo条约》中对此亦作出了详细的规定,我国作为集成电路技术较为落后的发展中国家,作出这样的规定有利于鼓励有关技术人员的积极性和主动性,以促进集成电路产业的发展。

②形式要件:即取得保护的布图设计在形式上必须具备的条件。

我国以登记作为布图设计取得权利保护的形式要件。

我国已规定了一套类似计算机软件版权登记的布图设计权登记制度。如果不进行登记,权利人将很难证明其布图设计在创作完成时是非显而易见的,因为布图设计的发展十分迅速,等到侵权纠纷出现时,举证已相当困难,建立一套登记制度即可在很大程度上解决这一难题。

(3)布图设计专有权的内容

布图设计专有权的内容即指布图设计专有权的具体权能。根据《集成电路布图设计保护条例》的规定,我国的布图设计专有权的权能主要包括:

①复制权,即权利人有权通过光学的、电子学的方式或其他方式来复制其受保护的布图设计或者含有该布图设计的集成电路。这种复制(reproduce)与版权法中的复制(copy)是不同的,它必须通过特殊的方法实现,实际上是一种重新制作。所以,我国《条例》中明确规定:“复制,是指重复制作布图设计或者含有该布图设计的集成电路的行为。”

②商业利用权,即布图设计权人享有的将受保护布图设计以及含有该受保护的布图设计的集成电路或含此种集成电路的产品进行商业利用的权利。各国立法对此权利内容的规定不完全相同,但一般都包括出售权、出租权、展览陈列权以及为商业目的或其他方式的利用而进口的权利等。我国法所规定的商业利用,是指为商业目的进口、销售或者以其他方式提供受保护的布图设计,含有该布图设计的集成电路或者含有该集成电路的物品的行为。

值得注意的是,从各国现有的集成电路法规定看,布图设计权均不包括任何精神权利,且布图设计权不影响权利人根据其他法律而对布图设计所享有的权利。

(二)布图设计专有权的权利限制

作为一种知识产权,和专利权及版权一样,布图设计权的行使也存在一定的限制。从各国立法的情况来看,对布图设计权利的限制主要有以下几种:

1.合理使用。这与版权中的合理使用相类似,主要包括为个人目的而复制或利用和为教学研究而复制或利用。

2.合理的反向工程。反向工程是现代集成电路工业发展的主要手段之一,但是反向工程也具有一定的特殊性,因为在复制他人布图设计时也可能会用到反向工程的技术,以科学研究为目的的反向工程是合法的,而单纯为获取他人布图设计而进行的反向工程则是非法的,这又涉及到一个侵权认定的问题。

3.权利穷竭。布图设计权人或经其受权的人将受保护的布图设计或含有该布图设计的集成电路产品投入市场以后,对与该布图设计或该集成电路产品有关的任何商业利用行为,不再享有权利。

4.善意买主。即基于善意,不知道有关半导体芯片产品的保护的存在而购买了该半导体芯片产品的人。这些人的行为是不能构成布图设计侵权的。

5.强制许可。即在一定条件下,一国政府可以不经布图设计权利人的同意强制作可他人或有关的组织使用其布图设计。这一做法主要由一些发展中国家采用,一些发达国家如美国对此持反对意见。《wipo条约》对强制许可采取了肯定的态度,允许缔约各国根据自己实际情况在法律上规定强制许可制度。

根据我国《集成电路布图设计条例》第四章的规定,我国对布图设计专有权行使的限制主要体现在以下这几个方面:

(1)为个人目的或者单纯为评价、分析、研究、教学等目的而复制受保护的布图设计的。

(2)在依据前项评价、分析受保护的布图设计的基础上,创作出具有独创性的布图设计的。

(3)对自己独立创作的与他人相同的布图设计进行复制或者将其投入商业利用的。

(4)受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品,由布图设计权利人或者经其许可投放市场后,他人再次商业利用的。

(5)在国家出现紧急状态或者非常情况时,或者为了公共利益的目的,或者经人民法院、不正当竞争行为监督检查部门依法认定布图设计权利人有不正当竞争行为而需要给予补救时,国务院知识产权行政部门可以给予使用其布图设计的非自愿许可。但是取得非自愿许可的自然人,法人或其他组织应向布图设计权利人支付合理的报酬,其数额由双方协商;双方不能达成协议的,由国务院知识产权行政部门裁决。

由以上这些规定可以看出,我国基本上采用了与《wipo条约》相似的规定,这有利于我国的集成电路布图设计与国际法律规定的接轨。

(三)布图设计侵权及其认定

所谓布图设计侵权,即指侵犯了布图设计权利人的权利,依法应承担法律责任的行为。它主要包括非法复制与非法进行商业利用两种。其中非法进行商业利用的行为比较容易认定,也易取证,但对非法复制的认定却存在一定的难度。非法复制主要有两种:

1.完全复制,即将原布图设计原封不动照搬下来。这种情况比较好认定,因为开发一种布图设计是一项艰巨复杂的脑力劳动,两个相互独立的开发人在互不接触的情况下独立开发的两种功能相同的布图设计,虽然在电路原理上有可能相似,但是表现在掩膜版上的具体元件布置、连线等布局完全一模一样的可能性几乎为零,因此在实践中如发现两种完全一样的布图设计,那么必定是后一布图设计人复制了前一设计人的布图设计,举证责任主要集中在开发时间先后上,如果规定有布图设计的登记制度,这就比较容易认定。

2.部分复制,即仿制,这是目前存在的布图设计侵权行为中占比重最大,而且也是最难认定的一种侵权行为。它之所以难以认定的主要原因是布图设计中有许多共同遵循的基本电路原理和技术原则,再加上新旧布图设计之间需有兼容性的要求,在功能相类似的布图设计中不可避免地会有一些相同或相似的地方。因此许多布图设计侵权人在获取他人布图设计作品以后,将一些无关紧要的元件位置作一定的改动,在电路设计连线上再作一些调整,就会使新的布局与原有的布局很不相同,尤其在制作成集成电路产品以后,肉眼无法观察,必须借助机器进行复杂的技术处理后才能认定,这就更增加了对此种侵权行为认定的难度。

笔者认为,在认定这种侵权行为时必须抓住两个关键:一是两种布图设计是否实质相似,二是另一布图设计创作人是否曾接触过受保护的布图设计。确定了这两点,侵权的判定即可成立。对是否实质相似的认定,主要可从两个方面着手:(1)从设计组成上看,首先在量上确定,两种布图设计相同的部分有多少,占全部布图设计的比重有多大,一般而言,相同的越多,是复制的可能性就越大,另外在质上可考察相同的部分在整个设计中所起的作用是否相同,如果起的都是核心的作用,那么就很容易构成实质相似。(2)从功能上看,两种布图设计的功能是否相同是二者是否实质相似的根本要件,如果两种功能完全不同的布图设计,即使其元件布局、线路布置绝大部分相同,也不可能构成实质相似。抓住了这两个关键,对于仿制的侵权认定就相对容易了。

总之,我国的《集成电路布图设计条例》已初步建立了我国的集成电路布图设计的知识产权保护理论体系,对布图设计侵权作了规定,并且规定了侵权人应当承担的法律责任。但我们仍需要在今后的布图设计权利保护实践中进一步改善我国的保护制度,使布图设计专有权的保护更全面更完善,从而促进我国集成电路产业的进一步发展。

[注   释]:

①即《关于集成电路的知识产权条约》(treaty on the intellectual property in Respect of integrated Circuits),是世界知识产权组织(wipo)于1989年5月在华盛顿通过的一部国际条约,简称《wipo条约》。现在已在这个条约上签字的国家有埃及、加纳、利比里亚、危地马拉、南斯拉夫、赞比亚、印度和中国等。

[参考书目]

1. 郑成思著《计算机软件与数据的法律保护》,法律出版社,1987版。

2. 郑成思著,《信息、新兴技术与知识产权》,中国人大出版社,1986版。

3. 英明初著,《计算机软件的版权保护》,北京大学出版社,1991年8月版。

4. 唐光良、董炳和、刘广三著,《计算机法》,中国社会科学出版社,1993年11月版。

5. 刘江彬著,《计算机法律概论》,北京大学出版社,1992年7月版。

6. 赵震江主编,《科技法学》,北京大学出版社,1991版。

集成电路版图设计的重要性篇5

一、集成电路布图设计的概念

集成电路的布图设计是指一种体现了集成电路中各种电子元件的配置方式的图形。集成  电路的设计过程通常分为两个部分:版图设计和工艺。所谓版图设计是将电子线路中的各个  元器件及其相互连线转化为一层或多层的平面图形,将这些多层图形按一定的顺序逐次排列  构成三维图形结构;这种图形结构即为布图设计。制造集成电路就是把这种图形结构通过特  定的工艺方法,“固化”在硅片之中,使之实现一定的电子功能。所以,集成电路是根据要实现的功能而设计的。不同的功能对应不同的布图设计。从这个意义上说,对布图设计的保护也就实现了对集成电路的保护。

集成电路作为一种工业产品,应当受到专利法的保护。但是,人们在实践中发现,由于集成电路本身的特性,大部分集成电路产品不能达到专利法所要求的创造性高度,所以得不到专利法的保护。于是,在一九七九年,美国众议院议员爱德华(edward)首次提出了以著作权法来保护集成电路的议案。但由于依照著们法将禁止以任何方式复制他人作品,这样实施  反向工程也将成为非法,因此,这一议案在当时被议会否决。尽管如此,它对后来集成电路保护的立法仍然有着重要意义,因为它提出了以保护布图设计的方式来保护集成电路的思想;在这基础上,美国于1984年颁布了《半导体芯。片保护法》;世界知识产权组织曾多次召集专家会议和政府间外交会议研究集成电路保护问题,逐渐形成了以保护布图设计方式实现对集成电路保护的一致观点,终于在一九八九年缔结了《关于保护集成电路知识产权条约》。在此期间,其他一些国家颁布的集成电路保护法都采用了这一方式。

虽然世界各国的立法均通过保护布图设计来保护集成电路,但关于布图设计的名称却各不相同。美国在它的《半导体芯片保护法,)中称之为“掩模作品”(maskwork);在日本的《半导体集成电路布局法》中称之为“线路布局”(cir— cuitlayout);而欧共体及其成员国在其立法中称布图设计为“形貌结构”(topography);世界知识产权组织在《关于集成电路知识产权条约》中将其定名为布图设计。笔者以为,在这所有的名称中以“布图设计”一词为最佳。“掩模作品”一词取意于集成电路生产中的掩模。“掩模作品”一词已有过时落后之嫌,而“线路布局”一词又难免与电子线路中印刷线路版的布线、设计混淆。“形貌结构”一词原意为地貌、地形,并非电子学术语。相比之下,还是世界知识产权组织采用的“布图设计”一词较为妥当。它不仅避免了其他名词的缺陷,同时这一名词本身已在产业界及有关学术界广泛使用。《中国大百科全书》中亦有“布图设计”的专门词条‘

二、布图设计的特征

布图设计有着与其他客体相同的共性,同时也存在着自己所特有的个性。下面将分别加以论述。

1.集成电路布图设计具有无形性

无形性是各种知识产权客体的基本特性,,因此也是布图设计作为知识产权客体的必要条件。布图设计是集成电路中所有元器件的配置方式,这种“配置方式”本身是抽象的、无形的,它没有具体的形体,是以一种信息状态存在于世的,不象其他有形物体占据一定空间。

布图设计本身是无形的,但是当它附着在一定的载体上时,就可以为人所感知。前面提到布图设计在集成电路芯片中表现为一定的图形,这种图形是可见的。同样,在掩模版上布图设计也是以图形方式存在的。计算机辅助设计技术的发展,使得布图设计可以数据代码的方式存储在磁盘或磁带中。在计算机控制的离子注入机或者电子束曝光装置中,布图设计也是以一系列的代码方式存在。人们可通过一定方式感知这些代码信息。布图设计是无形的,但是其载体,如掩模版、磁带或磁盘等等却可以是有形的。

2.布图设计具有可复制性

通常,我们说著作权客体具有可复制性,布图设计同样也具有著作权客体的这一特征。当载体为掩模版时,布图设计以图形方式存在。这时,只需对全套掩模版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘或磁带为载体时,同样可以用通常的磁带或磁盘拷贝方法复制布图设计。当布图设计被“固化”到已制成的集成电路产品之中时,复制过程相对复杂一些。复制者首先需要去除集成电路的外封装;再去掉芯片表面的钝化层;然后采用不同的腐蚀液逐层剥蚀芯片,并随时拍下各层图形的照片,经过一定处理后便可获得这种集成电路的全部布图设计。这种从集成电路成品着手,利用特殊技术手段了解集成电路功能、设计特点,获得其布图设计的方法被称为“反向工程”。

在集成电路产业中,这种反向工程被世界各国的厂商广泛采用。集成电路作为现代信息工业的基础产品,已渗透到电子工业的各个领域,其通用性或兼容性对技术的发展有着非常重要的意义。因此,而反向工程为生产厂商了解其他厂商的产品状况提供了可能。如果实施反向工程不是单纯地为复制他人布图设计以便仿制他人产品,而是通过反向工程方法了解他人品功能、参数等特性,以便设计出与之兼容的其他电路产品,或者在别人设计的基础上加以改进,制造出更先进的集成电路,都应当认为是合理的。著作权法中有合理使用的规定,但这种反向工程的特许还不完全等同于合理使用。比如,合理使用一般只限于复制原作的一部分,而这里的反向工程则可能复制全套布图设计。改编权是著作权的权能之一,他人未经著作权人同意而擅自修改其作品的行为是侵权行为,但这里对原布图设计的改进则不应视为侵权。

综之,无论何种载体,布图设计是具有可复制性的。

3.布图设计的表观形式具有非任意性著作权客体的表现形式一般是没有限制的。同一思想,作者可随意采取各种形式来表达,因此著作权法对其表现形式的保护并不会导致对思想的垄断。布图设计虽然在集成电路芯片中或掩模版上以图形的方式存在,具备著作权客体的外在特性,但是其表现形式因受诸多客观因素的限制,却是有限的或者非任意的。

首先,布图设计图形的形状及其大小受着集成电路参数要求的限制。如果要求集成电路  具有较高的击穿电压,设计人在完成布图设计时就必须将晶体管的基区图形设计为圆形,以  克服结面曲率半径较小处电场过于集中的影响。对于用于功率放大的集成电路,其功放管图  形的面积必须较大,使之得以承受大电流的冲击。

其次,布图设计还受着生产工艺水平的限制。为了提高集成电路的集成度或者追求高频 特性,常常需将集成电路中各元件的面积减小。这样,布图设计的线条宽度也相对较细。目前国。外已达到亚微米的数量级。但如果将线条设计得太细,以致工艺难度太大将会大大地降低集成电路成品率和可靠性,这是极不经济的;同样地,如果一味,地追求功率参数,将芯片面积增大,也会降低集成电路的成品率。

此外,布图设计还受着一些物理定律以及材料类及其特性等多种因素的限制。比如,晶体管可能因为基区自偏压效应而导致发射极间的电位不等。为克服基区自偏压效应,则需在加上均压图形。

虽然从理论上讲,突破这些限制条件的图形也可以受到著作权的保护,但由于布图设计的价值仅仅体现在工业生产中,所以对那些完全没有实用价值的、由设计人自由挥洒出来的所谓“布图设计”实施保护是没有任何意义的。这些图形不是真正意义上的布图设计,称其为一种“抽象作品”或许更为恰当。布图设计在表现形式的有限性方面,与工业产权客体相似。

三、布图设计权的特性

从上面的分析可知,集成电路布图设计有其自身的特征,并同时兼备著作权客体和工业产权客体的特性。在立法保护布图设计、规定创作人的布图设计权时,应当考虑这一特点。

首先,布图设计权应具备知识产权的共同特性,即专有性;时间性和地域性。布图设计具有无形性,同一布图设计可能同时为多数人占有或使用。为保障布图设计创作人的利益,布图设计权应当是一项专有权利。另一方面,布图设计的价值毕竟是通过其工业应用才得以实现。仅就一特定的布图设计而言,使用它的人越多,为社会创造的价值就越大。如果布图设计权在时间上是无限的,则不利于充分发挥其对社会的作用,也不利于集成电路技术的发展。所以布图设计权应有一定时间期限。当然,对时间期限的具体规定应当既考虑公共利益,又照顾到创作人的个人权益。只有找到二者的平衡点,才是利益分配的最佳状态。地域性作为知识产权的共性之一,同样为布图设计权所具备,在世界知识产权组织的《关于集成电路的知识产权条约》第三条;第四条和第五条的内容都涉地域问题,这实际上肯定了布图设计权的地域性。

其次,布图设计权还具有其独特的个性。下面将其分别与著作权和工业产权相对照,从而分析其特点。

1.布图设计权的产生方式与著作权不同,只有在履行一定的法律程序后才能产生。集成电路作为一种工业产品,一旦投放市场将被应用于各个领域,性能优良的集成电路可能会因其商业价值引来一些不法厂商的仿冒。另一方面,由于集成电路布图设计受到诸多因素的限  制,其表现形式是有限的,这就可能存在不同人完全独立地设计出具有相同实质性特点的布图设计的情况。这就是说,布图设计具有一定的客观自然属性,其人身性远不及普通著作权客体那样强。所以法律在规定布图设计权的产生时,必须对权利产生方式作出专门规定,否则便无法确认布图设计在原创人和仿冒人之间,以及不同的独立原创人之间的权利归属。

2.布图设计权中的复制权,与著作权中的复制权相比,受到更多的限制。翻开各国集成电路技术的发展史,反向工程在技术的发展中有着不可取代的作用。如果照搬著作权法中关于复制权地规定,实施反向工程将被认为是侵权行为。为了电子工业和集成电路技术的发展,应当对复制权加以一定的限制,允许在一定条件下或合理范围内实施反向工程,美国《半导体芯片保护法》第906条第一款中规定,“仅为了教学、分析或评价掩模作品中的概念或技术,或掩模作品中所采用的电路、逻辑流和图及元件的布局而复制该掩模作品者”;或进行上述的“分析或评价,以便将这些工作的结果用于为销售而制造的具有原创性的掩模作品之中者”均不构成侵犯掩模作品专有权。与此相反,单纯地为复制布图设计而实施反向工程仍为侵权。反向工程是对复制权的一种限制。

3.与工业产权相比,布图设计权产生的实质性条件也有所不同。专利法中“创造性”条件要求申请专利的技术方案具备“实质性特点”,而大多数集成电路达不到这一要求。比如,在设计专用集成电路时,常将一些已为人所熟知的单元电路加以组合,这种拼揍而成的集成电路大多难以满足专利法的创造性要求,这使得大量集成电路得不到专利法的保护,这正是传统专利制度与集成电路这一新型客体之间不协调的一面。所以集成电路保护法在创造性方面的要求不应象专利法要要求那么严,但也不能象著作权法完全不要求任何创造高度要求,因为布图设计的价值毕竟体现在工业应用上。

集成电路版图设计的重要性篇6

关键词:半导体可靠性设计

abstract:thereliabilityofthesemiconductorintegratedcircuitdesignisinthewholeprocessofproductdevelopment,prevention,strengthenthesystemofmanagementthoughtsastheinstruction,fromlinedesign,layoutdesign,processdesign,packagestructuredesign,evaluationtestdesign,materialselection,softwaredesign,andadoptsvariouseffectivemeasures,andstrivetoeliminateorcontrolsemiconductorintegratedcircuitunderspecifiedconditionsandwithinthetimerequired,allkindsofpossiblefailuremode,thusintheperformance,cost,time(research,productioncycle)factorsonthebasisofcomprehensivebalance,andrealizethesemiconductorintegratedcircuitproductsthereliabilityindexesprovisions.

Keywords:semiconductordesignreliability

中图分类号:o471文献标识码:a文章编号:

1.可靠性设计应遵循的基本原则

(1)必须将产品的可靠性要求转化成明确的、定量化的可靠性指标。

(2)必须将可靠性设计贯穿于产品设计的各个方面和全过程。

(3)从国情出发尽可能地采用当今国内外成熟的新技术、新结构、新工艺。

(4)设计所选用的线路、版图、封装结构,应在满足预定可靠性指标的情况下尽量简化,避免复杂结构带来的可靠性问题。

(5)可靠性设计实施过程必须与可靠性管理紧密结合。

2.可靠性设计的基本依据

(1)合同书、研制任务书或技术协议书。

(2)产品考核所遵从的技术标准。

(3)产品在全寿命周期内将遇到的应力条件(环境应力和工作应力)。

(4)产品的失效模式分布,其中主要的和关键的失效模式及其机理分析。

(5)定量化的可靠性设计指标。

(6)生产(研制)线的生产条件、工艺能力、质量保证能力。

3.设计前的准备工作

(1)将用户对产品的可靠性要求,在综合平衡可靠性、性能、费用和研制(生产)周期等因素的基础上,转化为明确的、定量化的可靠性设计指标。

(2)对国内外相似的产品进行调研,了解其生产研制水平、可靠性水平(包括产品的主要失效模式、失效机理、已采取的技术措施、已达到的质量等级和失效率等)以及该产品的技术发展方向。

(3)对现有生产(研制)线的生产水平、工艺能力、质量保证能力进行调研,可通过通用和特定的评价电路,所遵从的认证标准或统计工艺控制(SpC)技术,获得在线的定量化数据。

4.可靠性设计程序

(1)分析、确定可靠性设计指标,并对该指标的必要性和科学性等进行论证。

(2)制定可靠性设计方案。设计方案应包括对国内外同类产品(相似产品)的可靠性分析、可靠性目标与要求、基础材料选择、关键部件与关键技术分析、应控制的主要失效模式以及应采取的可靠性设计措施、可靠性设计结果的预计和可靠性评价试验设计等。

(3)可靠性设计方案论证(可与产品总体方案论证同时进行)。

(4)设计方案的实施与评估,主要包括线路、版图、工艺、封装结构、评价电路等的可靠性设计以及对设计结果的评估。

(5)样品试制及可靠性评价试验。

(6)样品制造阶段的可靠性设计评审。

(7)通过试验与失效分析来改进设计,并进行“设计-试验-分析-改进”循环,实现产品的可靠性增长,直到达到预期的可靠性指标。

(8)最终可靠性设计评审。

(9)设计定型。设计定型时,不仅产品性能应满足合同要求,可靠性指标是否满足合同要求也应作为设计定型的必要条件。

5.集成电路可靠性设计的基本内容

(1)线路可靠性设计。

线路可靠性设计是在完成功能设计的同时,着重考虑所设计的集成电路对环境的适应性和功能的稳定性。半导体集成电路的线路可靠性设计是根据电路可能存在的主要失效模式,尽可能在线路设计阶段对原功能设计的集成电路网络进行修改、补充、完善,以提高其可靠性。如半导体芯片本身对温度有一定的敏感性,而晶体管在线路达到不同位置所受的应力也各不相同,对应力的敏感程度也有所不同。因此,在进行可靠性设计时,必须对线路中的元器件进行应力强度分析和灵敏度分析(一般可通过SpiCe和有关模拟软件来完成),有针对性地调整其中心值,并对其性能参数值的容差范围进行优化设计,以保证在规定的工作环境条件下,半导体集成电路整体的输出功能参数稳定在规定的数值范围,处于正常的工作状态。

线路可靠性设计的一般原则是:1)线路设计应在满足性能要求的前提下尽量简化;2)尽量运用标准元器件,选用元器件的种类尽可能减少,使用的元器件应留有一定的余量,避免满负荷工作;3)在同样的参数指标下,尽量降低电流密度和功耗,减少电热效应的影响;4)对于可能出现的瞬态过电应力,应采取必要的保护措施。如在有关端口采用箝位二极管进行瞬态电压保护,采用串联限流电阻限制瞬态脉冲过电流值。

(2)版图可靠性设计。

版图可靠性设计是按照设计好的版图结构由平面图转化成全部芯片工艺完成后的三维图像,根据工艺流程按照不同结构的晶体管(双极型或moS型等)可能出现的主要失效模式来审查版图结构的合理性。如电迁移失效与各部位的电流密度有关,一般规定有极限值,应根据版图考察金属连线的总长度,要经过多少爬坡,预计工艺的误差范围,计算出金属涂层最薄位置的电流密度值以及出现电迁移的概率。此外,根据工作频率在超高频情况下平行线之间的影响以及对性能参数的保证程度,考虑有无出现纵向或横向寄生晶体管构成潜在通路的可能性。对于功率集成电路中发热量较大的晶体管和单元,应尽量分散安排,并尽可能远离对温度敏感的电路单元。

(3)工艺可靠性设计。

为了使版图能准确无误地转移到半导体芯片上并实现其规定的功能,工艺设计非常关键。一般可通过工艺模拟软件(如SUpRem等)来预测出工艺流程完成后实现功能的情况,在工艺生产过程中的可靠性设计主要应考虑:1)原工艺设计对工艺误差、工艺控制能力是否给予足够的考虑(裕度设计),有无监测、监控措施(利用pCm测试图形);2)各类原材料纯度的保证程度;3)工艺环境洁净度的保证程度;4)特定的保证工艺,如钝化工艺、钝化层的保证,从材料、工艺到介质层质量(结构致密度、表面介面性质、与衬底的介面应力等)的保证。

(4)封装结构可靠性设计。

封装质量直接影响到半导体集成电路的可靠性。封装结构可靠性设计应着重考虑:1)键合的可靠性,包括键合连接线、键合焊点的牢固程度,特别是经过高温老化后性能变脆对键合拉力的影响;2)芯片在管壳底座上的粘合强度,特别是工作温度升高后,对芯片的剪切力有无影响。3)管壳密封后气密性的保证;4)封装气体质量与管壳内水汽含量,有无有害气体存在腔内;5)功率半导体集成电路管壳的散热情况;6)管壳外管脚的锈蚀及易焊性问题。

(5)可靠性评价电路设计。

为了验证可靠性设计的效果或能尽快提取对工艺生产线、工艺能力有效的工艺参数,必须通过相应的微电子测试结构和测试技术来采集。所以,评价电路的设计也应是半导体集成电路可靠性设计的主要内容。一般有以下三种评价电路:1)工艺评价用电路设计。主要针对工艺过程中误差范围的测定,一般采用方块电阻、接触电阻构成的微电子测试结构来测试线宽、膜厚、工艺误差等。2)可靠性参数提取用评估电路设计。针对双极性和CmoS电路的主要失效模式与机理,借助一些单管、电阻、电容,尽可能全面地研究出一些能评价其主要失效机理的评估电路。3)宏单元评估电路设计。针对双极型和CmoS型电路主要失效模式与机理的特点,设计一些能代表复杂电路中基本宏单元和关键单元电路的微电子测试结构,以便通过工艺流程研究其失效的规律性。

6.可靠性设计技术

可靠性设计技术分类方法很多,这里以半导体集成电路所受应力不同造成的失效模式与机理为线索来分类,将半导体集成电路可靠性设计技术分为:1)耐电应力设计技术:包括抗电迁移设计、抗闩锁效应设计、防静电放电设计和防热载流子效应设计;2).耐环境应力设计技术:包括耐热应力、耐机械应力、耐化学应力和生物应力、耐辐射应力设计;3)稳定性设计技术:包括线路、版图和工艺方面的稳定性设计。

集成电路版图设计的重要性篇7

关键词:输出接口;扩展;多路控制

0引言

在电路的设计过程中,经常会遇到由于各种各样的原因需要增加iC输出接口,从而导致iC资源紧张或输出接口不够用。遇到此类问题时,设计者通常会选择更换资源更加丰富的iC或者将iC输出接口中比较次要功能的输出接口替换掉。而采用更换资源丰富的iC时常常由于剩余接口资源而造成浪费,而采用替换次要功能的输出接口方案时常常会造成对电路系统或者该电路系统所对应产品的质量和性能造成影响。特别是对于在当前在国内外激烈竞争的市场中,产品性能和质量无疑是影响企业生存的重要因素。

1设计原理

通常iC的输出接口信号有三种状态[1]:高电平(H)、低电平(L)以及高阻态(Z),本文所设计的电路,其原理正是利用这三种信号作为模块电路的输入信号,分别控制不同的三路输出,其原理模块示意图如图1所示。图中输入信号高电平对应输出信号组K1,低电平对应输出信号组K2,高阻态对应输出信号组K3,且每个输出信号组均由实际的三路输出组成。

2硬件电路设计

根据设计原理示意图,设计了如图2所示的扩展电路模块电路图。图2中input为输入控制信号,该信号为从iC输出的控制信号,output1,output2,output3组成输出信号组K1、K2、K3。其中二极管D4为低压降二极管,其导通压降要求低于三极管Q6发射极导通压降,从而使得在input输入信号为低电平时,三极管Q6处于截止状态[2]。1)input输入信号为高电平时:二极管D4截止,三极管Q6导通。三极管Q6集电极为低电平,二极管D5截止,二极管D6导通,使output3输出高电平。同时三极管Q4导通,从而使三极管Q2、Q3截止,output1输出低电平。同时由于R1上的压降大于三极管Q1之间的压降,故Q1导通,output2输出高电平;2)input输入信号为低电平时:二极管D6截止,二极管D4导通,由于二极管D4导通压降低于三极管Q6发射极压降,从而使三极管Q6截止,Q6集电极输出高电平,二极管D5导通,output3输出高电平。

3实验

对本文所设计的电路将其应用到美的烹饪机X1上控制两路温度采集和风机控制实验。

4结论

本文介绍了一种输出接口扩展电路并对该电路进行了逻辑分析和实验验证,通过逻辑分析和实验验证,证明了该电路能够通过单个输出接口的高电平、低电平和高阻态三种信号状态来分别控制三路输出,实现了单输出信号控制多路输出信号。该电路很好的解决了在电路设计过程中因增加负载而导致iC输出资源不够用的问题。

参考文献

[1]李全利.单片机原理及应用[m].北京:高等教育出版社,2012.

[2]童诗白,华成英.模拟电子技术基础:第三版[m].北京:高等教育出版社,2001.

[3]殷瑞祥.电路与模拟电子技术:第二版[m].北京:高等教育出版社,2009.

[4]张静秋,胡燕瑜.共射共基和共集三种基本放大电路特性的仿真研究[J].电子制作,2016(23):40.

[5]任青莲,高文华.共射-共基电路的高频响应分析及pSpiCe仿真[J].山西电子技术,2011(6):3-5.

集成电路版图设计的重要性篇8

关键词:S3C44B0;多路数据采集;RS-485;上位机

中图分类号:tp316文献标识码:a文章编号:1009-3044(2011)10-2425-02

Designofmulti-ChannelDataacquisitionUnitforGeotechnicalengineeringBasedonS3C44B0

ZHanGJian-wei,Lipeng-fei

(Departmentofmechanicalandelectricalengineering,XiamenUniversity,Xiamen361005,China)

abstract:meetingthehigherrequestinprecisionandspeedtowarddataacquisitionforgeotechnicalengineering,thispapertakingtheS3C44B0processorasthecoreandaddingthenecessaryperipheralcircuit,designakindofpCmonitoringofmulti-channeldataacquisitionunit.UsingaDS8505foraDdataacquisition,reach16bitaccuracy;expandinputchannelbyusingCD4067whichisanelectronicswitch,realizethe16channelsignalacquisition;CommunicatewithpCthroughRS-485sothatuppercomputercancontrolitanddatacanprocessanddisplay,thendesignadataacquisitionsystemwithhighaccuracy,highresolutionandmulti-channel.

Keywords:S3C44B0;multi-channeldataacquisition;RS-485;uppercomputer

随着现代工程实践的迅速发展,岩土工程监测呈现的特点有:大面积布置传感器、稳定性和实时性要求高、数据传输量大等[1]。为了保证岩土工程监测的全面性、实时性、稳定性,本文基于S3C44B0扩展必要的电路、aD采集电路和通道选择电路,设计了一种多路数据采集器,实现对16路信号的高精度、高速率的采集。

1系统总体设计

系统分为上位机(pC)和下位机(采集器)两个部分:上位机运行特定的采集分析程序控制下位机的操作(实时数据的采集、上传、示波等),并对下位机上传的数据进行时、频域的分析处理或显示;下位机在上位机的控制下对传感器信号进行调理、采集和数据的上传。上、下位机之间通过RS485进行通讯。本系统设计最多支持8台仪器同时采集,每台仪器可设置1―16个通道工作。系统现场数据采集的示意图如图1所示。

系统现场数据采集工作过程:1)布好传感器阵列,并连接到采集仪器上;2)采集仪器通过RS485与便携pC连接;3)pC上运行采集分析程序控制采集仪器进行采集参数设置;4)悬垂敲击地面,pC启动采集仪器进行数据采集和上传,上位机接收数据后,可进行各种需要的显示、分析处理以及数据保存;5)可重复步骤4进行多次采集。

2采集器硬件设计

采集器包括信号模拟调理和mCU控制两部分。采集器原理框图如图2所示。

信号模拟调理:首先传感器电压信号输入,再经通道独立的程控放大和采样保持电路接入16选1的电子开关,依次对每路信号进行aD采集。此过程的程控放大、采样保持、16选1开关均由mpU控制部分进行控制。数据采集完毕后收到上位机数据上传命令,将数据通过RS485方式上传。

mpU控制:采用三星aRm7系列控制器S3C44B0作为主控芯片,运行频率64mHz,外扩4*1m*16bit的Ram、2m*8bit的Rom、RS485接口。采用16位高速aD芯片aDS8505,采样频率32Hz~200kHz。

2.1程控放大电路设计

图3为单路的程控放大电路,使用运算放大器LF353,构建同相放大器,传感器信号从J1的2端接入,放大倍数a=(1+Rf/R-)[2],其中Rf为电子开关选通的通道电阻值,R-为R118。采用单8通电子开关芯片CD4051,通过程序控制C1B1a1从000~111,分别选通R101~R108的电阻,即可实现放大倍数的程序控制。

2.2采样保持与电子开关电路设计

为了保证每一路信号采样的同时性,以便进行通道之间的相关分析,设计加入了采样保持电路[3]。如图4所示,采用采样保持器LF398,信号由引脚3进入,通过io控制引脚8的电平,当引脚8为高电平时,信号采样保持,为低电平时恢复信号。信号经采样保持后从引脚5输出,为了减小电路的输出阻抗,本电路又增加了一个电压跟随器驱动输出,输入到电子开关。

图4采样保持电路

模拟电子开关电路选用16选1的模拟电子开关CD4067,通过对通道选通控制端a0~a3的控制实现通道的切换。电子开关与采样保持电路配合,实现了使用一个aD采集通道对多路信号数据采集的同时性。

2.3aD采集电路设计

为了实现高精度、高采样频率的信号采集,本设计采用ti公司的aDS8505芯片,16位精度,最高250kHz的采样频率,转换电压范围±10v,工作电压5v,并具有内部的2.5v参考电压。aDS8505与控制器的数据传输连接支持两种模式――16位和8位。aD采集的接口电路如图5所示。

为节约io资源,选用8位模式传输数据,即每次aD的采集数据分两次读取。aD采集采用中断方式进行,芯片的BUZY引脚经电阻分压后(控制器工作电压为3.3v)连接到S3C44B0的外部中断管脚,当启动aD采集后,等待aDS8505的24引脚(BUZY)产生电平跳变,从而触发控制器的中断,并在该中断服务程序中完成aD数值的读取,完成一次aD采集。

2.4控制系统电路设计

图6为控制系统的电路原理框图。控制系统采用三星的aRm7S3C44B0作为主控制器,连接HY57V641620及SSt39V160扩展4*1m*16bit的Ram和2m*8bit的Rom,分别用于存储数据和程序,扩展JtaG接口用于程序的调试和下载[4]。设计RS485通信接口用于数据传输。由于系统需要控制采集16路的信号,各路信号通道又有8档放大倍数程控,对io口数量要求大,本设计采用多片74HC573锁存pC(pC0~pC15)口的输出,实现io数目的扩展。

3采集器程序设计

本系统采用上、下位机的方式工作,两者之间通过RS485连接通信。采集器作为下位机,需要在上位机程序的控制下进行数据的采集任务。

图7所示为采集器工作的主流程图。采集器上电后,首先进行系统的初始化,以及其他系统硬件资源的初始化。之后转入串口数据的侦听,等待上位机程序下发命令数据。采集器接收到数据后,对数据进行判断和校验是否是上位机下达的命令,不是则返回继续侦听;若是上位机下达的命令,则对命令进行闪转处理。命令响应完成后,也返回串口侦听。

采集设置的参数有:采样频率、工作通道情况、采样点数、采集触发方式、放大倍数等。本方案规定必须先进行采集参数设置后才能启动数据采集。数据最后上传到上位机,并在上位机程序中绘制曲线显示、数据存盘,进一步可进行其他的数据分析处理(频域变换、时域平均分析、双通道分析、数理统计、图形分析等)[5]。

4结束语

本设计利用16位a/D转换芯片aDS8505,以及高性能的aRm7系列控制器S3C44B0,并基于CD4067模拟电子开关实现了16路信号通道采集的扩展,配合上位机程序,实现了高精度、大范围采样频率的多路数据采集,并能在pC显示器上显示信号数据曲线和其它数据操作处理。对现代工程时间现场的信号采集,具有很好的应用价值。

参考文献:

[1]刘明贵,于謇,梁昊.基于Stm32的沿途工程无线采集系统[J].仪表技术与传感器,2010(5):95-97.

[2]谢嘉奎.电子线路线性部分[m].4版.北京:高等教育出版社,1999.

[3]郑君里,应启珩,杨为理.信号与系统[m].2版.北京:高等教育出版社,2009.

集成电路版图设计的重要性篇9

关键字:数字模拟转换器;温度计码;电阻匹配;版图

DesignandSimulationofa10-bitDigital-to-analog

ConverterwithHigh-precisionandLow-Cost

maYe,LiBin

(Schoolofelectronicandinformationengineering,SouthChinaUniversity

oftechnology,Guangzhou510640,Guangdong,China)

abstract:withthedevelopmentofiCtechnology,high-precisiondigital-analogconvertermodule(DaC)hasbeenoneoftheindispensablemodulesinchips.oneofthemostcriticalfactorswhichaffecttheprecisionofthedigital-analogconverteristheresistancematchingdegree.inthispaper,a10-bitDaCwithhigh-precisionandlow-costisdesignedandimplementedby0.35μmprocesstechnology.therequirementforthematchingcoefficientoftheresistanceinthiscircuitisthesamewiththatofthe7-bitDaC,whichmeanstherequirementoftheprocesstechnologyandtheprecisionofthelayoutisdecreasedby8times,resultinginlowcost.Finally,thetemperatureeffectonthelayoutisfurtherdecreasedbytheproperlayoutoftheDaC.thetestingresultsshowthatthescopeoftheDnLis-0.2~+0.2andthatoftheinLis-0.6~+0.6.theproposedDaChasbeensuccessfullyappliedinthecommercialmotorchips.

Keywords:DaC;thermometercode;resistancematching;layout

1引言

随着信息时代的飞速发展,日益精确的信息处理芯片对数模/模数转化器(DaC/aDC)精度提出越来越高的要求,高精度、低成本的数模/模数转换器的设计面临着严峻的挑战。本文研究一种提高DaC转换精度的方法,并通过新颖的电路和版图结构,设计了一个高精度、严格单调的数模转换器。

传统DaC有两种典型的架构:R-2R阶梯式结构和2nR结构。传统的R-2RLaDDeR结构如图1所示[1,2]。

该结构的特点是速度快,但对电阻的匹配与温度特性要求很高。假设图1是一个10位DaC,最大输出为2mV。当输入码字是0,111,111,111时,输出电压是0.999mV。当输入码字跳变为1,000,000,000时,输出电压是1mV,此时mSB(mostsignificantbit)b10接偏置电源,其它位开关都接地。如果b10位有0.001mV的误差将导致DaC输出曲线的非线性,即mSB位电阻偏差必须保证在0.001/1=0.1%以内,才能保证DaC的单调性。这样,对于高位数的DaC将给版图以及工艺制程提出非常高的要求。

2nR阶梯结构非常简单,对电阻匹配要求也很低。但是随着DaC位数的增加,芯片面积也急剧增加导致了成本上升,并且这种DaC响应速度很慢。对于10位的DaC需要210个电阻,因此该结构的设计只适用于低位数的DaC。

本文采用一种分段阶梯的电阻网络架构设计一个高精度10位DaC,有效地避免了两者的短处,同时在版图设计上采用新颖的排列,从而使电阻匹配系数要求与7位DaC的要求相同,降低了芯片的设计难度与生产成本。

2分段阶梯结构DaC

分段阶梯结构的DaC是R-2R结构与2nR结构的结合,是经常采用的一种DaC结构。分段阶梯DaC的示意图如图2所示。

分段阶梯结构中的关键是决定哪几位采用温度计码(thermometercode),最大程度地降低脉冲尖峰对DaC的影响[3,4]。很明显,使用温度计码结构的位数越多,对尖峰脉冲的抑制效果更好。但是采用的温度计码结构位数越多,电阻个数会以指数增长,成本也急剧地增加。因此,在选择使用温度计码结构位数和版图面积上存在一个折中。从仿真的结果得出,在该10位DaC中采用3位的温度计码能有效地抑制脉冲尖峰对性能的影响(如图3),图中曲线族是不同工艺角的仿真结果。从图中可以看出分割位数取3的时候,尖峰脉冲与版图面积达到一个最理想的折中。

因此,本文设计的DaC高三位采用温度计码结构,低7位采用R-2R阶梯结构。该DaC总共包括1024个模拟输出级,采用三位温度计码的分割结构将其分割成了八个128级的模拟输出。从图2可以看出,右边的R-2R结构产生128级的模拟输出,左边的分割结构控制着八个128级模拟输出。左边的三位分割结构由一个3-8解码器控制(如图4),其真值表如表1所示。这样分割的高三位不受电阻匹配的任何影响,只要DaC低七位的严格单调性就可以保证DaC的DnL在-1~+1范围内。即mSB位由原来的bit9降低到了bit6,对电阻匹配要求降低了8倍,提高了DaC产品精度与良率。

3版图设计

无论是采用哪种结构的DaC,电阻的匹配特性都影响着电路的性能。有效地控制影响电阻匹配的因素可以提高电路性能。

3.1电阻排列设计

晶圆上分布的电阻匹配主要存在两种斜率误差:线性误差和二次误差。线性误差主要是掺杂、氧化层厚度和电源供电差异等导致的。在晶圆的XY平面上如图5(a)所示。二次误差是因为温度和芯片上的应力造成的,如图5(b)所示。图5(c)直观地给出了这两种斜率误差对电阻匹配的综合影响[5]。

本文为全文原貌未安装pDF浏览器用户请先下载安装原版全文

从图5可以看出,对DaC中电阻有效的排列可以降低因为不匹配所导致的误差。

典型的排列顺序有纵横方案(Row-ColumnSchemes)和层次方案(HierarchicalSchemes)等。表2可以看出电阻不同排列顺序对积分非线性误差(inL)性能优化的贡献[5]。

积分非线性(inL)是DaC的一个重要性能指标,是实际的有限精度特性和理想的有限精度特性在垂直方向上的最大差值。DaC的积分非线性(inL)是每一位的误差的累计。从表2中可以看到电阻版图排列方式对DaC性能影响很大,表中最后那种新的排列方式最大地优化了线性误差。因此,版图上电阻优化的排列顺序对DaC性能有着积极的影响。

3.2版图设计

在本文设计中的创新主要是通过电阻版图排列顺序来优化DaC电路的性能。首先电阻的不匹配系数公式为

σ(ΔRV)%=(1)

其中w、L分别为moS管的栅宽和栅长,aΔRV是由工艺决定的[6,7]。根据UmC0.35μm工艺提供的电阻匹配报告,aΔRV为1.4999/100。在DaC中mSB对性能影响最大,因此该设计中把高三位电阻的2R用4组电阻串联,每组由两个单位电阻R并联如图6所示,从而使电阻面积增大,降低不匹配系数,最后通过版图的布局将电阻在晶圆上的误差降到最低,进一步优化DaC的性能。

本文设计的DaC采用UmC0.35μm工艺实现,具体的版图如图7所示。

图7中8根黄色的电阻对应图6中展开的电阻,DaC版图总共使用了90根电阻。在版图中尽量增加动态随机分布,从而有利于抵消不匹配的影响。将版图尽可能地对称从而使电阻组合后(并联、串联)的阻值与理想值更接近。

从图6和图7中可以看到,电阻1&2、3&4、5&6和7&8是并联后再串联组成2R的mSB电阻。在版图上的排列如图7所示,主要考虑了三个因素:(1)电阻的并联。如1.05R与0.95R并联为0.49875R,与理想值0.5R偏差0.25%;1.01R与0.99R并联为0.5049495R,与理想值偏差为0.49%。因此电阻并联的话,应该使并联的电阻尽可能的阻值相等。在版图设计上让其间距最小来实现。(2)电阻的串联。如1.05R与0.95R串联为2R,1.01R与0.99R串联为2R。因此电阻串联的话,应使其版图尽可能的对称。在该版图里,设计上使4组串联的电阻以版图的三个中心点对称。(3)电阻的线性误差。在图5中可以看到电阻分布的斜率误差曲面存在三个中心点,因此在设计该DaC电阻版图时,充分利用这个特性在版图中设计了三个中心点来抵消斜率误差的影响。最后,DaC版图应该尽量摆放在芯片中心位置并远离功率管,以降低应力和温度对其特性的影响。

4测试结果

图8是在常温下使用3.3V电压供电,DaC特性的测试结果。DnL的测试结果范围在-0.2~+0.2,inL的测试结果范围在-0.6~+0.6,设计的电路具有很好的单调性。

图9是DaC最大输出时的温度特性数据。左图是传统版图排列的DaC温度特性曲线,右图是本文设计的DaC温度特性曲线。由于本文设计的版图中采用三个中心点分布有效地降低了由于温度变化所导致的二次斜率误差,提高了DaC的温度特性。

图10是DaC的传输特性。从编码0到编码1023可以看到DaC的输出电流从0ma单调线性地变换到102.3ma,每一步是0.1ma。

5结束语

本文在UmC0.35μm制程下设计了一个10位高精度、严格单调的数模转换器,主要在电路结构和电阻版图排列上进行了创新。设计中有效地降低了电路对电阻匹配系数的要求,因此可以在一定的工艺条件下设计出更高位数、更高性能的DaC。另外,新颖的版图排列使DaC受温度与外部应力的影响减小,保证了传输特性的严格单调性与DaC的高精度。该电路结构简单并具有良好的传输特性,可以应用在大多数音频、马达等控制芯片中。

参考文献

[1]Leiwang,YasunoriFukatsu,andKenzowatanabe,“aCmoSR-2RLadderDigital-to-analogConverteranditsCharacterization,”ieeeinstrumentationandmeasurementtechnologyConferenceBudapest,Hungary,may21-23,2001.pp.1026-1031

[2]michaelpeterKennedy,“ontheRobustnessofR-2RLadderDaC’s,”ieeetRanSaCtionSon

(下转第58页)

CiRCUitSanDSYStemS-paRti:FUnDa-mentaLtHeoRYanDappLiCationS,VoL.47,no.2,FeBRUaRY2000pp.109-116

[3]mikaelGustavsson,J.Jacobwikner,andnianxiongnicktan,“CmoSDataConvertersforCom-munication”,Kluweracademicpublishers2002pp.95-96

[4]JurgenDeveugele,“a10-bit250-mS/sBinary-weightedCurrent-SteeringDaC,”ieeeJoURnaLoFSoLiD-StateCiRCUitS,VoL.41,no.2,FeBRUaRY2006pp.320-329

[5]YonghuaCong,“SwitchingSequenceoptimizationforGradienterrorCompensationinthermometer-DecodedDaCarrays,”ieeetRanSaCtionSonCiRCUitSanDSYStemS-ii:anaLoGanDDiGitaLSiGnaLpRoCeSSinG,VoL.47,no.7,JULY2000pp.585-595(tU)

[6]marcelJ.m.pelgrom,Hansp.tuinhoutandmaartenVertregt,“transistormatchinginanalogCmoSapp-lications,”1998ieeepp.915-918

[7]DongwonSeo,“aHeterogeneous16-BitDaCUsingaReplicaCompensation”,ieeetRanSaCtionSonCiRCUitSanDSYStemS―i:ReGULaRpapeRS,VoL.55,no.6,JULY2008,pp.1455-1463

作者简介

马烨,华南理工大学电子与信息学院微电子研究所硕士研究生,研究方向模拟集成电路设计。

集成电路版图设计的重要性篇10

在此,我们重点是讨论集成电路芯片加工过程中的一些关键手艺。

集成电路基本工艺包括基片外延生长、掩模制造、曝光技术、刻蚀、氧化、扩散、离子注入、多晶硅淀积、金属层形成。

关键词:外延、掩膜、光刻、刻蚀、氧化、扩散、离子注入、淀积、金属层

集成电路芯片加工工艺,虽然在进行iC设计时不需要直接参与集成电路的工艺流程,了解工艺的每一个细节,但了解iC制造工艺的基本原理和过程,对iC设计是大有帮助的。

集成电路基本工艺包括基片外延生长掩模制造、曝光技术、刻蚀、氧化、扩散、离子注入、多晶硅淀积、金属层形成。

下面我们分别对这些关键工艺做一些简单的介绍。

一、外延工艺

外延工艺是60年代初发展起来的一种非常重要的技术,尽管有些器件和iC可以直接做在未外延的基片上,但是未经过外延生长的基片通常不具有制作期间和电路所需的性能。外延生长的目的是用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。常用的外延技术主要包括气相、液相金属有机物气相和分子束外延等。其中,气相外延层是利用硅的气态化合物或液态化合物的蒸汽在衬底表面进行化学反应生成单晶硅,即CUD单晶硅;液相外延则是由液相直接在衬底表面生长外延层的方法;金属有机物气相外延则是针对ⅢⅤ族材料,将所需要生长的ⅢⅤ族元素的源材料以气体混合物的形式进入反应器中加热的生长区,在那里进行热分解与沉淀反映,而分子束外延则是在超高真空条件下,由一种或几种原子或分子束蒸发到衬底表面形成外延层的方法。

二、掩模板的制造

掩模板可分成整版及单片版两种,整版按统一的放大率印制,因此称为1×掩模,在一次曝光中,对应着一个芯片陈列的所有电路的图形都被映射到基片的光刻胶上。单片版通常八九、实际电路放大5或10倍,故称作5×或10×掩模,其图案仅对应着基片上芯片陈列中的单元。

早期掩模制作的方法:①首先进行初缩,把版图分层画在纸上,用照相机拍照,而后缩小为原来的10%~%20的精细底片;②将初缩版装入步进重复照相机,进一步缩小,一步一幅印到铬片上,形成一个阵列。

制作掩模常用的方法还包括:图案发生器方法、x射线制版、电子束扫描法。

其中x射线、电子束扫描都可以用来制作分辨率较高的掩模版。

三、光刻技术

光刻是集成电路工艺中的一种重要加工技术,在光刻过程中用到的主要材料为光刻胶。光刻胶又称为光致抗蚀剂,有正胶、负胶之分。其中,正胶曝光前不溶而曝光后可溶,负胶曝光前可溶而曝光后不可溶。

光刻的步骤:①晶圆涂光刻胶;②曝光;③显影;④烘干

常见的光刻方法:①接触式光刻;②接近式光刻;③投影式光刻

其中,接触式光刻可得到比较高的分辨率,但容易损伤掩模版和光刻胶膜;接近式光刻,则大大减少了对掩模版的损伤,但分辨率降低;投影式光刻,减少掩模版的磨损也有效提高光刻的分辨率。

四、刻蚀技术

经过光刻后在光刻胶上得到的图形并不是器件的最终组成部分,光刻只是在光刻胶上形成临时图形,为了得到集成电路真正需要的图形,必须将光刻胶上的图形转移到硅胶上,完成这种图形转换的方法之一就是将未被光刻胶掩蔽的部分通过选择性腐蚀去掉。

常用的刻蚀方法有:湿法腐蚀、干法腐蚀。

湿法腐蚀:首先要用适当的溶液浸润刻蚀面,溶液中包含有可以分解表面薄层的反应物,其主要优点是选择性好、重复性好、生产效率高、设备简单、成本低。存在的问题有钻蚀严重、对图形的控制性较差、被分解的材料在反应区不能有效清除。

干法刻蚀:使用等离子体对薄膜线条进行刻蚀的一种新技术,按反应机理可分为等离子刻蚀、反应离子刻蚀、磁增强反应例子刻蚀和高密度等离子刻蚀等类型,是大规模和超大规模集成电路工艺中不可缺少的工艺设备。干法刻蚀具有良好的方向性。

五、氧化

在集成电路工艺中常用的制备氧化层的方法有:①干氧氧化;②水蒸气氧化;③湿氧氧化。

干氧氧化:高温下氧与硅反应生成sio2的氧化方法;

水蒸气氧化:高温下水蒸气与硅发生反应的氧化方法;

湿氧氧化:氧化首先通过盛有95%c左右去离子睡的石英瓶,将水汽带入氧化炉内,再在高温下与硅反映的氧化方法。

影响硅表面氧化速率的三个关键因素:温度、氧化剂的有效性、硅层的表面势。

六、扩散与离子注入

扩散工艺通常包括两个步骤:即在恒定表面浓度条件下的预淀积和在杂志总量不变的情况下的再分布。预淀积只是将一定数量的杂质引入硅晶片表面,而最终的结深和杂质分布则由再分布过程决定。

常见的扩散方法主要有固态源扩散和气态源扩散等。

离子注入是将具有很高能量的带点杂质离子射入半导体衬底中的掺杂技术,它的掺杂深度由注入杂质离子的能量、杂质离子的质量决定,掺杂浓度由注入杂质离子的剂量决定。高能离子射入靶后,不断与衬底中的原子以及核外电子碰撞,能量逐步损失,最后停止下来。

离子注入法于20世纪50年代开始研究,20世纪70年代进入工业应用阶段。随着VLSi超精细加工技术的发展,现已成为各种半导体掺杂和注入隔离的主流技术。在离子注入后,由于会在衬底中形成损伤,而且大部分注入的离子又不是以替位的形式位于晶格上,为了激活注入到衬底中的杂质离子,并消除半导体衬底中的损伤,需要对离子注入后的硅片进行退火。

退火,也叫热处理,作用是消除材料中的应力或改变材料中的组织结构,以达到改善机械强度或硬度的目的。

七、淀积

器件的制造需要各种材料的淀积,这些材料包括多晶硅、隔离互连层的绝缘材料和作为互连的金属层。

在厚绝缘层上生长多晶硅的一个常用方法是“化学气相淀积”(CVD),这种方法是将晶片放到一个充满某种气体的扩散炉中,通过气体的化学反应生成所需要的材料。

以上简单介绍了集成电路的基本工艺,当然,这些只是关键的几个工艺,集成电路的工艺还有很多,在这里就不一一说明了。

参考文献:

[1]李冰,集成电路CaD与实践,电子工业出版社

[2]王志功、陈莹梅,集成电路设计(第二版),电子工业出版社

[3]张兴、黄如、刘晓彦,微电子学概论(第二版),北京大学出版社