接口电路十篇

发布时间:2024-04-24 23:43:13

接口电路篇1

关键词:中频解调;i2C总线;接口电路;状态机;控制逻辑

中图分类号:tp336文献标识码:a

文章编号:1004-373X(2010)12-0001-04

i2CBusinterfaceCircuitappliediniF-pLLDemodulation

HanHong-juan,LiFu-hua,wanGHan-xiang,Xiewei-guo

(Schoolofelectronicsandinformation,SoochowUniversity,Suzhou215021,China)

abstract:aninterfacecircuitcompatiblewithi2CcommunicationprotocolappliediniF-pLLdemodulationwasdesignedbasedonthei2Cbuscommunicationprotocol,inordertosimplifyperipheralinterfacecircuitofiF-pLLdemodulationcircuit,reduceitsarea,improveitsuniversalityandstability.therearefourpossibleslaveaddressesavailableforavoidingconflictsinrealapplicationwithotherdevices.thestate-machineandcontrollogicweredevisedandoptimizedchiefly.theresultsofsimulationprovethatthecircuithascorrectfunctionsandhighreliability.theinterfacecircuitcanbewidelyappliedintV,VtR,pCandStBapplications.

Keywords:iF-pLLdemodulation;i2Cbus;interfacecircuit;state-machine;controllogic

收稿日期:2010-02-11

基金项目:2007姑苏创新创业领军人才项目(ZXG0719)

i2C总线(interintegratedcircuitbus)由飞利浦公司于20世纪80年代研究开发。i2C总线接口电路其简单性和有效性而被广泛用于连接微处理器及设备。在电视中频解调电路中,二线制的i2C总线接口电路使得主控制器只需要2个引脚便可实现对解调电路所有功能的控制,且总线接口集成在器件中,各电路单元之间只需要最简单的连接,大大简化了电路板上的走线,减少了电路板面积,提高了其可靠性,降低了成本。

在该中频解调的接口电路中,基于i2C总线传输协议[1],通过对状态机与控制逻辑的优化设置,只需要1个3位的状态机便可实现电路的使能、启动、终止、应答、复位、选址等功能,电路得到了最优化,且通过地址选择位的增加,防止了芯片地址冲突,极大地改善了电路的可靠性及稳定性。

1i2C总线的特点及数据传输

1.1总线特点

i2C总线是由数据线SDa和时钟线SCL构成的双向串行总线,i2C总线上的所有节点,如主控器、器件、接口模块都连接到SDa,SCL上,在总线备用时,SDa和SCL都保持高电平,i2C不工作时SCL嵌位在低电平。为了使总线上所有电路的输出都能实现“线与”功能,i2C总线接口电路的输出端必须是漏极开路结构,输出端要接上拉电阻[2-3]。

1.2总线的数据传输格式

i2C总线数据传送格式按图1进行。首先由主控设备发出起始信号(S),即SDa在SCL高电平期间由高电平跳变为低电平,然后主控器发送1个字节的数据,首先传送的是最高位(mSB)。在传输了每个字节之后,必须要有接收设备发出1位应答信号。

图1i2C数据传送格式

起始信号后的第1个字节是寻址字节,寻址字节的高7位是接收设备的地址,第8位是方向位,“0”发送数据(写状态),“1”接收数据(读状态)。寻址字节后面可以有很多数据字节,每个字节后都要有一位发自接收设备的应答信号。在结束与该接收设备通信时,主控设备必须发出终止信号(p),即在时钟线SCL为高电平期间,SDa由低电平跳变为高电平。

2中频解调电路i2C总线接口的实现

电视中频解调电路中的i2C总线接口主要由输入滤波器、地址寄存器、移位寄存器、控制寄存器、状态机与控制逻辑、读状态寄存器、输出模式寄存器等构成,如┩2所示。其中,输入滤波器具有i2C总线逻辑兼容电平,输入时钟与内部时钟同步,可滤除部分干扰信号[4]。控制逻辑作为控制核心控制着每一部分的状态。地址寄存器存放着自己的7位地址,用来与接收到的地址比较。移位寄存器、输出模式寄存器与读状态寄存器端口并行相接,各存储着8位数据字节。

图2i2C串行总线结构图

(1)起始信号与结束信号检测。

起始信号与结束信号的检测由2个下降沿D触发器和1个反相器构成,如图3所示。D1在SDa从高电平跳变到低电平时触发,此时只有当SCL保持高电平时,Start才为1,即检测到起始信号。同理,D2在SDa从低电平跳变到高电平时触发,此时只有当SCL保持高电平时,Stop才为1,即检测到结束信号。

(2)地址检测。

根据设计要求,i2C总线每次通信输入8位地址数据和控制数据,电路进行地址比较以后,如果地址正确,则接收控制数据。为了防止地址冲突,增加了地址选择位,具体实现如图4所示。D7~D1为发送的数据地址,由于S1,S2是可设置端口,有4种组合,即总线接口有4个地址,分别为1000010,1000011,1001010,1001011,只有当D7~D1为100S101S2时,选址成功,即可以有效解决地址冲突。

(3)数据串并与并串的转换。

电路中,串行数据转换为并行数据、并行数据转换为串行数据主要由移位寄存器完成。它以并行方式与输出模式寄存器和读状态寄存器相连;以串行方式与数据线SDa相连。发送的数据由读状态寄存器装载到数据寄存器中。发送后数据又从串行通道返回数据寄存器中,接收数据时,数据寄存器装入SDa线上的数据[5]。

(4)内部总线状态的检测。

读状态寄存器连接着内部总线的8位状态位S0~S7,在读状态时,该寄存器将内部总线的状态读进去,再以并行方式传给移位寄存器,移位寄存器以串行的方式传给数据线,即内部总线状态被主控器读取,如图5所示。

图5寄存器组图

(5)并行端口的扩展。

根据芯片功能的需要,设置了4组输出模式寄存器,分别为调整模式寄存器、备用寄存器、开关模式寄存器、数据模式寄存器。寄存器个数可根据芯片功能的需要进行并行扩展[4,6],由于┟恳蛔楠寄存器都对应着相应的子地址,所以每一组寄存器对应相应的时钟Cp1,Cp2,Cp3,Cp4和控制端C1,C2,C3,C4。这些时钟和控制端由1个带控制端的2/4译码器输出,所以每次只有1组寄存器工作,如┩5所示。

(6)状态机与控制逻辑的设置与优化。

状态机与控制逻辑作为i2C接口的控制中心,主要用于控制i2C接口电路的使能、启动、终止。图5给出寄存器组图的应答、复位、选址及中断请求等。通过对状态机与控制逻辑的优化设置,仅使用3位状态作为状态机的状态端,在满足更多功能的基础上,电路更易于实现[7-9]。如图6所示,3个触发器的输出Qi8,Qi5,Qi6为状态机的状态,Qd0~Qd8为移位寄存器的输出,HL91为移位寄存器的可控复位端,G111为地址检测位,HL22为应答位,C5,C6为移位寄存器与读状态寄存器的控制端。

图6状态机与控制逻辑

上电后,状态机的初始值被置位为全0。HL91作为移位寄存器输入端的置位端将移位寄存器的输入端置0。当初始信号到来时,start信号变为1,此时状态机的状态变为100,开始传送寻址字节;当8位地址传送完毕后,假设为写状态,此时Qd8变为高电平,应答位HL22由高电平变为低点平,状态机的状态变为110。HL91变高将移位寄存器的输入置0,响应结束后,应答位由低电平变为高电平,状态机的状态变为010,此时开始传输数据。

i2C总线开始工作后,主控器便发送寻址字节给移位寄存器,在移位寄存器将7位串行地址并行移出,且与地址寄存器的从地址进行比较,当地址相同时,G111变为1,寻址成功,此时应答信号HL22变为0,并告知主控制器。

在寻址成功后,如果为读状态,则在传完该字节之后,产生应答信号,状态机变为100,移位寄存器控制端C5变为低电平,读状态寄存器的控制端C6变为高电平,读状态寄存器读入内部总线状态。其中,Qi20是与读/写有关的控制端,响应结束后,状态机状态变为110,此时,C5变为高电平,C6变为低电平,读状态寄存器将存储的8位状态位并行传给移位寄存器;移位寄存器将状态串行移出,发送给主控制器;主控制器接收到8位状态位后,发送非应答信号给接收器,使它释放数据线;响应结束后,主控制器产生结束信号,结束数据传送。

如果为写状态,在接收器产生应答信号后,主控器将发送子地址给移位寄存器。根据输出模式寄存器功能的不同,分别对应3组不同的子地址。

在状态机与控制逻辑的作用下,子地址具有自动1功能,所以在读写多字节时,可以实现自动操作,加上后面的2/4译码器,每次只选通1路输出模式寄存器,如图7所示。

图7地址自动加一原理图

在所有数据发送完毕后,接收器发送应答信号给主控制器,响应结束后,主控制器发送停止信号(p),结束数据传送。

3仿真结果

通过VHDL的程序编写[10],对i2C模块进行了分析综合,得到如图8、图9的仿真结果。

图8写状态仿真结果

图9读状态仿真结果

在写状态时,寻址位后的读/写位为0,C6一直为低电平,即读状态寄存器不工作,在开始后的第8个时钟,移位寄存器将SDa的数据并行移出,第9个时钟时,应答位HL22变为低电平。在读状态时,当传完7位寻址位和“1”方向位时,C5变为低电平,C6变为高电平,读状态寄存器工作,可将内部总线状态读进来。

在读/写2种状态下,i2C控制模块都能很好地实现i2C总线的开始、停止、读、写、响应等功能,仿真结果正确,完全符合i2C总线标准和电路预期的要求。

4结语

目前,i2C总线已作为一种标准广为人们接受,除了带有i2C总线的单片机和一些常用的设备器件,在电信、电视、音像等产品中都有成套的i2C总线器件。随着大量串行数据的传输,i2C总线的传输速率已提升为高速模式,可达到3.4mb/s,寻址范围也由原来的7位扩展为10位,这样被控器的地址数量约增加了10倍。

参考文献

[1]philips.thei2C-busspecification[m/oL][2007-04-18].http:.

[2]philipsSemiconductors.thei2C-busandhowtouseit[m].[S.l.]:philipsSemiconductors.1995.

[3]何立民.i2C总线应用系统设计[m].北京:北京航空航天大学出版社,1995.

[4]尤一鸣,傅景义,王俊省.单片机总线扩展技术[m].北京:北京航空航天大学出版社,1993.

[5]阎石.数字电子基础[m].北京:高等教育出版社,2001.

[6]马维华.基于虚拟i2C总线的多并行口扩展技术[J].微电子学与计算机,2008,19(9):31-33.

[7]weiChao-Huang,LinYun-Chung.implementationoflocalareadigitalaudiobroadcastingsystemuponi2Cnetwork[C].the47thmidwestSymposiumonieeeCircuitsandSystems.[S.l.]:ieee,2004.

[8]谭昭禹,颜永红,马勋.音频子系统中的i2C接口电路设计[J].微计算机信息,2008,24(26):252-254.

接口电路篇2

关键词:电力线载波消费总线

智能家庭要求家用电器经网络(总线)实现互联、互操,总线协议是其精髓所在。目前,国际上占主导地位的家庭网络标准有:美国的x10、消费总线(cebus)、日本的家庭总线(homebus)、欧洲的安装总线(eib)。

消费总线使用五种类型的介质(电力线、无线、红外、双绞线和同轴电缆),其中以电力线的应用最为广泛。消费总线得到ibm、hownywell、microsoft、intellon、lucent、philips、siements等大公司的支持,1992年成为美国电力工业协会的标准(eia600、eia721)。1997年,eia600成为美国ansi标准;2000年6月,微软和cebus委员会共同宣布支持cebus的简单控制协议scp。scp是未来微中upnp协议的子集。

1cebus电力线层

鉴于家庭中电力线载波通讯的特殊性,cebus采用价格低廉、简单易行的线性调频(chirp)扩频调制技术。摒弃了传统电力线载波通常应用的直接序列扩频、调频扩频、跳时扩频等设备复杂、价格昂贵的扩频调制技术。

图2通用通讯模块的原理图

消费总线的层有四种码,分别是:“0”、“1”、“eof”和“eop”。均为扫频信号,正弦信号载波,从203khz经过19个周期线性地变为400khz,再经过1个周期变为100khz,然后在5个周期中变为203khz,整个过程用时100μs,也就是1个ust(unitsymbletime,在消费总线中用多少个ust来度量时间)。其波形如图1所示。

chirps扫频载波需经过放大耦合到电力线上,放大后的幅度应适中。幅度太低,给接收电路带来困难;幅度太大,又会对电力线上的设备产生干扰。cebus的规定如表1所示。

表1不同条件下的载波幅度值

设备工作电压最小幅值最大幅值负载范围

~120v2.5vpp7vpp10Ω~2kΩ

~240v5vpp14vpp39Ω~8.2kΩ

表2不同条件下的设备输入阻抗值

设备工作电压设备输入阻抗(在频率20khz~50000khz)载波幅值

~120v>150Ω6vpp

~240v>300Ω12vpp

同时也规定了电器设备对信号的阻抗。如果阻抗很小,就会将信号吸收从而无法传送国。规定如表2所示。

线性调频技术实现宽带低功率密度传输,从而大大提高抗干扰性能和传输距离。同时,chirps具有很强的自相关性和自同步性。这种自相关决定了所有连接在网络上的设备可以同时识别从网上任意设备发出的这种特殊波形。

2通讯模块的设计

根据p89c51rd2和p300的芯片手册[7],设计的通用通讯模块的原理图如图2所示。p89c51rd2和p300之间采用spi接口通讯,用模拟的i2c总线和串行eeprom通讯。这样,中断口、串口和有足够的i/o口可以用于实际设备的设计。

3通讯模块电力线接口电路的设计

从p300输出的信号幅度小、驱动能力弱而且还有高次谐波,因此必须经过滤波和放大,然后才能通过耦合电路将信号调制到电力线上。耦合电路将高压和低压隔离开,防止高压击穿通讯电路。另一方面,从电力线来的载波信号又要由p300接收,而电力线上的干扰很大也很不确定,所以需要一个带通滤波器,通过100khz~400khz之间的信号,再送到p300的接收端。电路的方框图如图3所示。

其中左边的3根线来自p300,ts是数字信号,控制收发转换。实际上p300的收发类似半双工方式,因为当它在“发送”劣态的时候,实际上并没有输出信号。因此,这个时候它可以处于接收状态,如果接收到了优态,就表示发生了竞争。

3.1滤波电路

输入滤波器电路如图4所示。

这个滤波器有6阶,对高频干扰有很好的抑制,图5是它的频率响应曲线。在高频段400khz处衰减为3db。高于400khz的平均衰减为3db,高于400khz的平均衰减为128db/dec,可以有效地过滤干扰信号。

p300输出的信号包含丰富的高次谐波,为了减小对电网的干扰,先经过带通滤波器再进行放大。滤波器也采用无源电路,原理与上面类似,这里不再多述。

3.2放大电路

p300的输出信号经过滤波之后,其内阻很大,没有驱动能力,而且电压幅度不符合消费总线的要求,必须放大后才能够驱动电力线。放大电路不仅要有强有力的输出能力,还需有禁止输出功能,这样才能使p300接收其它节点发出信号。

电网的性能不确定,有时是容性负载,有时是感性负载。这样就给末级电路采用反馈带来很大困难。因为当负载的阻抗特性变化时,输出的信号相位会发生变化,最终有可能是负反馈变成了正反馈,从而引起振荡。

图6电力载波放大电路

设计的电力载波放大电路如图6所示,虚线的左边的原理图,右边是实现电路图。可以看出,这个电路有两个输入,一个输出。输入信号来自p300的电力载波,输出使能控制放大器运行。图6的左半部分,t1和t2接成互补式otl输出,它们的偏置电压来自电阻r1、r2的分压。来自p300的信号经过运放u1放大达到期望的幅度,然后通过电容耦合到t1和t2的基极。如果开关s1和s2合上,则t1和t2正常输出电信,p300可以发送数据;如果s1和s2都断开,那么t1和t2的基极都处于悬空状态,输出端也成为悬浮状态,从而不会吸收由电力线传来的信号,p300可以接收信号。

在图6的右边,开关s1和s2也被t7和t8取代,t1和t2被复合管取代,其中的电阻r11用来消除三极管漏电电流的影响。采用复合管是为提高放大倍数,这样可以尽量减小级间耦合,即使输出信号发生了畸变,也不会影响到前级而发生振荡。实际证明这种做法是很可行的。其对容性负载、感性负载以及纯电阻的负载都有较稳定的输出,输出阻抗小于2Ω。

图7p300与电力线的耦合电路

3.3耦合电路及保护措施

图7中j1接到电力线,r1是压敏电阻,它可以使尖峰脉冲短路,变压器t1实现了高压与低压的隔离。因为载波的频率比较高(100khz~400khz),远远大小电网的频率,这样就使载波信号畅通无阻,而能够隔断高压。电容c1阻断低频高压,阻止变压器饱和;电阻r2取值比较大,作用

是在离线时使电容放电,防止在设备插头的两端出现高压。z1是瞬变抑制二极管(transientvoltagesuppressor,或称tvs),它可以有效地避免后而电路被高压击穿。l1、d1、d2也是为防止高压击穿放大电路而设计的。电力线上的设备接入或者是断开,都有可能引起尖峰脉冲,并导致收发电路的永久损坏。所以高压保护措施是至关重要的。

接口电路篇3

关键词:地铁;出入段;接口

中图分类号:U231+.3文献标识码:a文章编号:

引言

列车出入段的作业与信号系统的转换轨道设计方案有着密切关系。本文首先针对深圳地铁蛇口线车辆段转换轨信号设备的现状做了介绍,然后针对现状详细分析了列车的出入车辆段作业过程,这对其他地铁的建设也具有一定的借鉴意义。

1、深圳地铁蛇口线车辆段出入段线的特点及功能

深圳地铁蛇口线是特区内的第二条横贯东西的轨道客运通道。蛇口线信号系统正线采用的是卡斯柯信号公司研制的iLoCK计算机联锁系统,负责正线所有信号设备的联锁功能。蛇口西车辆段和后海停车场使用的是北京交大微联公司提供的ei32-JD型计算机联锁系统,负责车辆段内所有信号设备的联锁功能。在深圳地铁后海停车场出入段线,这两种联锁设备能根据运营要求通过以敌对照查联锁关系为基础的接口电路设计实现正线与停车场接口功能,保证正线与停车场间的作业安全。

1.1蛇口线线路情况及主要参数

蛇口线分首通段和东延段。首通段起于赤湾站终至世界之窗站,约15.51km,蛇口西车辆段与终点站赤湾站接轨;东延段自世界之窗站开始向东北方向延伸,经南山区、福田区、罗湖区一直到终点新秀站,约20.65km,后海停车场设置在后海西部通道口岸西侧,与首期工程的湾夏站接轨。

1.2车辆段出入段线的信号联锁接口电路现状

蛇口线采用阿尔斯通的URBaLiStm系统,该系统是一套基于无线通信技术列车控制系统(CBtC)。该系统由5个子系统组成,分别为自动防护/自动驾驶(atp/ato)子系统、计算机联锁(CBi)子系统、自动监控(atS)子系统、数据通信(DCS)子系统和维护检测(mSS)子系统。其中CBi子系统位于各设备的集中站,主要作用是通过联锁运算控制道岔转辙机、信号机等轨旁设备。

正线设置一套双系热冗余的2乘2取2联锁系统,简称iLoCK,负责完成正线管辖区域的所有联锁功能,及与中心ZC和车载CC之间的接口和数据传输。iLoCK负责采集和驱动现场相关轨旁信号设备,通过安全型继电器实现和道岔转辙机、信号机、紧急停车按钮等设备的安全接口。同时通过与车辆段的ei32-JD联锁系统接口,可以控制列车可以不停车出入非atC区域,提高运营效率。正线联锁与车辆段联锁的接口电路是冗余的,某一方故障都不会影响系统的正常运行。

1.3车辆段出入段线的信号接口电路的安全防护功能

蛇口线正线联锁系统和车辆段联锁系统之间的接口电路采用安全型继电器电路。其接口内容主要为敌对照查条件、相邻区段占用出清信息、相邻道岔信息等。正线与车辆段间的接口原则上按“转换轨”处的列车敌对照查关系处理,即排列出入车辆段的进路,只有满足了正线与车辆段的相互敌对照查条件信号才能开放,当条件未满足时已经开放的信号会关闭,从而保证了正线与停车场间的作业安全。

2、深圳地铁蛇口线后海停车场与正线湾夏站接口的特点及功能

深圳地铁蛇口线后海停车场距离湾夏站大约500米,需穿过东角头的码头港池。出入段线的左线在湾夏站恰与右正线接轨、右线与湾夏站的返折线接轨。出入段线以10‰上坡跨右正线,不适于长时间停车。

深圳地铁蛇口线湾夏站选用的是卡斯柯iLoCK联锁系统,该系统可以在不停车的情况下安全可靠的完成驾驶模式的转换,确保运营效率,因此在设计时将转换轨设置在后海停车场出入段线上,此时后海停车场与湾厦站接口电路的实现需要解决的主要问题是后海停车场与湾厦站权限的划分和后海停车场出入段信号机开放时机的确定。

图1后海停车场出入段线示意图

后海停车场和湾夏站之间通过继电器接口传递信息,按照敌对照查的联锁关系来保证行车安全。进段信号机(Xr、Xc)由后海停车场的联锁系统控制。例如后海停车场办理Xc出场进路时,进路锁闭后信号开放前后海停车场联锁需检查转换轨空闲、敌对进路未建立、S20606和S20608在关闭状态,同时将照查条件传给湾厦站联锁,湾厦站收到照查信息后不再允许向转换轨排列进路。出段信号机(S20606、S20608)及始端的进路则由湾夏站的联锁系统控制,然而出段的信号最终开放与否必须检测后海停车场是否有发送并保持着通知出段的信息,后海停车场发送的信息包括相应出入段线检查的进路照查、场内的敌对进路还没有建立和进段的信号在关闭状态。后海停车场出入线段的安全及联锁关系的检查由湾夏站联锁系统控制,当条件未满足时已经开放的信号需关闭。

3、地铁车辆段的设计原则及特点

蛇口线正线信号系统和车辆段信号系统是两个相对独立的信号系统,联锁处理方式也不尽相同。正线iLoCK系统以无线接入点(ap)为单位,通过基于无线通信的移动闭塞来实现联锁关系的,而车辆段ei32-JD系统则是以轨道电路为基础的固定闭塞来实现联锁关系的。车辆段出入段线信号接口电路按照敌对照查的联锁形式来实现正线与车辆段间的联系,由于正线和车辆段的信号联锁接口电路都是冗余的,某一单方故障都不会影响系统的正常运行,确保了列车出入段的作业安全,提高了地铁运营效率。这也是目前国内轨道交通信号系统最常采用的车辆段出入段线接口电路设计方案,对其他地铁的建设具有一定的参考意义。

4、结语

通过后海停车场出入段线的信号联锁接口电路的设计原则和设计方案的分析,我们知道在确保安全的基础上让列车在不停车的情况下完成驾驶模式的转换能进一步提高列车出入段的运营效率。合理的车辆段与正线信号联锁接口功能的实现不仅保证了列车出入段作业的安全,还提高了运营效率和减少运营成本,使车辆段和正线能够完美的结合,最大程度上发挥出车辆段的作用。

参考文献:

[1]吴汶麟,等。轨道交通运行控制与管理[m].上海:同济大学出版社,2004。

[2]GB50157-2003地铁设计规范[S].北京:中国计划出版社,2003。

[3]安卫萍地铁信号介绍、铁道学报

[4]黄欣、马骞.竹子林车辆段出入段线接口电路设计[J],甘肃科技,2005。

接口电路篇4

关键词:液晶航向指示器;指示器接口电路;优化设计

传统机载仪表主要是机械仪表,在实际操作过程中存在许多问题,传输效率低、分辨率差导致指示航向常常出现失误。近年来,随着我国科学技术的不断进步,电子仪表大量使用液晶屏显示,也应用在航向指示器中,解决了传统机械仪表的问题,提高了仪表的准确度。本文所研究的航向指示器接口电路能够接收通过液晶屏显示的各种信息,希望对实际中基于液晶航向指示器接口电路的优化设计有所帮助。

1硬件设计

硬件设计的主要目的是提高其性能,所以要选择最先进的控制系统和最优秀的逻辑数据编辑器。以自整角机信号为例,如Cygnal推出了混合信号系统单片机(C8051F020),控制系统以内部含有Cip-51的CpU内核的51系列单片机C8051F020为主,相比较51早期系列单片机,其内部存储增大且可靠性增强。指示器接口电路设计用C8051F020来控制处理数据,还可以和驱动液晶屏,使用串口法进行数据传输,用CpLD来输入输出逻辑数据。在数据处理方面,以精度要求为标准,实行信号转好,转换器采用14SZZ系列(中国船舶重工集团716研制所提供),分辨率调整为14位就能满足需求,当两路同类信号输入时,可选择双通道功能的转换器,避免电路面积过大。接口电路通过解算输入自整角机的信号数字,得到数字输出,最后将数字通过D/a转换器从接口电路输出。

1.1转换器

CpLD程序以VHDL语言实现,以单片机输入数据的地址定义为依据,将地址值设为敏感信号,由此产生单通道a/D模块的片选信号(高低字节),再将转换器芯片a/D转换结果录入缓存进行读取;针对无高低字节选择信号的双通道模块,可产生禁止/使能信号,将转换结果录入缓存,输入信号进行缓存后,CpLD程序可根据地址值将输入信号写单片机,经解算后得出数字输出信号,再根据地址敏感信号产生D/a转换芯片的控制信号,根据控制信号将数字输出送入转换器。转换器直流电源波动范围正常允许值为上下10%,严谨越限加电,电路并联方式为:pCB板块正5V、正15V、负15V以及GnD之间分别并联6.8uF和0.1uF的滤波电容。单片机引脚为陶瓷谐振器或晶体谐振器内部反馈电路所产生的反馈输入,为了让内部时钟更加精准,需在引脚另外增添一个谐振器。

1.1.114ZSZ/XSZa/D转换器

14ZSZ/XSZa/D是一种小型数字转换器,是14位自整角机,运用二阶伺服回路电路,数据输出的过程中能够三态锁存数据,这种数字转换器能够持续跟踪转角机和变压器,且因为其数据的位数多,在读取数据时没有终端转换程序,数字信号在选择通道时运用不同的高低电平。

1.1.214SZZ/SXZ数字-自整角机转换器

14SZZ/SXZ数字—自整角机转换器与a/D转换器方式相反,通过14SZZ/SXZ数字—自整角机转换器将二进制数字量转换为模拟量后输出,转换器中的enH和enL分别控制着高8位和低6位。输入量数据决定着转换器的变化,当enH和enL全为零时,转换器内部锁存器开始下降沿。运用CnoS完成锁存过程并通过变压器分离输入或者输出的信号,从而确定计算机与控制系统的切合点,使接口设计更加实用。

1.2电源管理模块

因为系统控制器各部件都已确定,所以其电路实际电量已可以确定,对电源的选择也有具体要求。在电路设计过程中最重要的是控制电压,以免电压过大导致芯片使用寿命降低。

2软件设计

2.1初始化单元

整个电路系统通电后,对系统的初始化需要47.406ms时间,此时应找到CpU对应串口,设定好初始化参数,设定时分别将串口0、1设置带po.0、po.1和po.2和po.3上,并将外部时钟设定为22.118mHz,每35ms进行一次终断。

2.2数据处理显示单元

电路所需的数据井a/D转换后变为14位的二进制数字量,数字信号能够以0~360度的转换角度进行相应转换,利用CpLD对数据以高低字节顺序进行缓存连接到单片机总线后传送到液晶显示屏中,以数字的形式输出出来。

2.3定时工作及存储器初始化单元

指示器接口电路设计中的定时单元的作用是识别按键按下动作,主要运用查询的方式进行识别。按键按下这个动作完成后,系统会查询按键时间为多少,依据其时间长短判断出按键这个动作是否真的完成,也就是说按键被按下,以免出现不小心碰到的失误。按键数据主要采用Ram存储器来存储,如果案件数据被使用,存储器中数据会随之清除出去,为下次的输入数据留出栈位。

2.4通信模块

指示器接口电路的通信模块需要运用到反馈的处理方法,液晶屏接收到外界的读写命令后,立马启用D/a转换器,将其温度和状态反馈到单片机,然后这些信息就会经数据总线传输到控制系统,最终控制系统再一次控制液晶屏。形成数据的交换、反馈循环,最终输出信息。

2.5单片机主程序模块

单片机主程序模块流程首先是将Ram清空,然后查询出液晶屏的温度和状态,如果显示温度低于零度,且发现温度传感器为有效状态则打开加热电源,液晶屏初始化设置后读取并处理数据,液晶屏将数据显示出来。同时处理亮度等按键,长按按键时其不改变相应指的状态下对按键计数,放松按键后亮度等值随之改变,使长按数据变化加快。

3结语

液晶航向指示器接口电路的优化设计程序复杂,其设计包含硬件设计和软件设计,硬件设计中14ZSZ/XSZa/D转换器、14SZZ/SXZ数字—自整角机转换器和电源管理模块的设计运用,可提高设备的性能,实现信息的输入和输出;软件设计中,初始化单元、数据处理显示单元、定时工作及存储器初始化单元、通信模块和单片机主程序模块的设计,是数据处理的关键。

参考文献:

[1]李顺亮,钟碧良.应用技术制作船舶监控系统控件[J].舰船电子工程,2011(2):135-137.

[2]苏文海.直驱式电液伺服转叶舵机关键技术及其控制系统研究[D].哈尔滨:哈尔滨工业大学,2009.

[3]周振国.塔康系统关键技术的研究与塔康测位的实现[D].西安:西安电子科技大学,2012.

接口电路篇5

关键词单片机;mSp430;LCD;人机交互接口

1引言

在当今的各种实时自动控制和智能化仪器仪表中,人机交互是不可缺少的一部分。一般而言,人机交互是由系统配置的外部设备来完成,但其实现方式有两种:一种是由mCU力口驱动芯片实现,如键盘显示控制芯片SK5279a,串行数据传输数码显示驱动芯片maX7219等等,这时显然mCU没有LCD的驱动功能。另一种就是mCU本身具有驱动功能,它通过数据总线与控制信号直接采用存储器访问形式或i/o设备访问形式控制键盘和LCD实现人机对话。这里的mCU主要有世界各大单片机生产厂商开发的各种单片机,其中ti公司的mSp430系列因其许多独特的特性引起许多研究人员的特别关注,在国内外的发展应用正逐步走向成熟。

2LCD简介

LCD(LiquidCrystalDisplay),即液晶显示器。液晶显示是通过环境光来显示信息的,它本身并不发光,因而功耗很低,只要求液晶周围有足够的光强。LCD是人与机器沟通的重要界面,早期以显像管(CRt/CathodeRaytube)显示器为主,但随着科技不断进步,各种显示技术如雨后春笋般诞生。LCD由于具有轻薄短小、低耗电量、无辐射危险、平面直角显示以

及影像稳定不闪烁等优势,逐渐占据显示的主流地位。

LCD的类型,根据其分类方式的不同而不同。如根据LCD显示内容的不同可以分为段式LCD和点阵LCD。根据LCD驱动方式的不同可以分为静态驱动和多路驱动。

3mSp430F44X简介

mSp430F44X系列是ti公司最新推出的具有超低功耗特性的Flash型16位RiSC指令集单片机[2]。该系列单片机性价比相当高,在系统设计、开发调试及实际应用上都表现出较明显的优势。它主要应用在各种要求极低功率消耗的场合,特别适合用于智能测量仪器、各种医疗器械、智能化家用电器和电池供电便携设备产品之中。

3.1系统结构

mSp430F44X的系统结构,主要包括:CpU、程序存储器(Rom)、数据存储器(Ram)、FLL+时钟系统(片内DCo+晶体振荡器)、看门狗定时器/通用目的定时器(watchDog)、aDCl2(12位a/D)、比较器a(精确的模拟比较器,常用于斜边(Slope)a/D转换)、复位电压控制/电源电压管理、基本定时器(Basictimerl)、定时器(timer-a和timer-B)、LCD控制器/比较器(多达160段)、硬件乘法器、i/o口和串行口[4]。系列中各种具体的型号稍有差别。在本次设计中,具体选择mSp430F449作为人机接口电路的设计具有许多独到的优势。这一点,读者可以根据ti公司相关的数据手册进行比较。

3.2片内外模块特性

mSp430F44X具有丰富的片内模块,其明显的特点是:具有48条i/0口线的6个并行口p1-p6,其中p1、p2具有中断能力,同时具有2个可用于UaRt/Spi模式选择的串行口(USaRt0和USaRtl);内含12位的a/D转换器aDCl2,快速执行8×8、8×16、16×16乘法操作并立即得到结果的硬件乘法器;多达160段的LCD控制器/比较器,可以实现多种方式的驱动显示;可以实现UaRt、pwm、斜坡aDC的16位timer-a和16位timer-B;非常灵活的时钟系统,既可用32768Hz的钟表晶振产生低频时钟,也可以用450kHz-8mHz的晶体产生高频时钟,同时还可以使用外部时钟源或者用不同控制频率的DCo;多达几十kB的Flash空间,这样数据既可以保存在片内的Flash信息存储器,也可保存在程序的Hash中的剩余空间。

4接口电路设计

4.1接口电路简图及说明

典型应用电路示意图。在该图中,LCD类型和键盘种类及数目的选择、下拉电阻的数值大小都必须认真考虑,硬件设计要满足一定的工作时序关系,复位时预留缓冲时间和悬空部分引脚,晶振的选择要在适当的数值,必须保证交流驱动的频率在30Hz-1000Hz范围内,其具体的情况请详细参考ti公司的相关资料[3]。

4.2段型液晶显示屏eDS820a简介

一般而言,LCD分为笔段型和点阵字符型及点阵图形型。笔段型主要是显示数字,常用于计数、计量和计时;点阵字符型用于显示数字和西文字符;点阵图形型用于显示图形及字符。本设计中用到的eDS820a就是由西安新敏电子科技有限公司生产的笔段型LCD。是该显示屏的各个引脚的逻辑功能表。

显而易见,该产品eDS820a是5位的液晶显示屏,它只有4个Dp,用于显示小数点;Com端也只有一个,所以该LCD与mSp430F449的管脚连接应该引起足够重视.

5软件设计

硬件连接电路图为例,编写了键盘控制及显示程序,程序在iaRembeddedworkbench编译通过。全部主程序包括详细的发射和接收子程序,及初始化和等待键盘输入转换、显示等等,值得注意的是发射与接收的控制要适当。

该程序是用汇编编写的。程序实现的是等待按键输入,读取键值,最后进行键值处理和显示的功能。

检测是否有键按下是通过KeY是否有高电平信号。平时,KeY为低电平,当有键按下时为高电平,它发送一个脉冲给单片机mSp430F449,当单片机检测到该信号时,判断按键的功能,从而进行相应的处理。

6人机接口电路在体内电刺激器中的应用

医学上,在进行疾病控制时,通常可以通过电极以一定波形(如方波、正弦波等)、频率、幅度、占空比等电信号对神经或肌肉进行刺激,以使其支配相应的功能或肌肉产生收缩/舒张动作,从而有利于症状的减轻。由于不同部位的神经或肌肉对电刺激发生的敏感水平不同,不同强弱和不同性质的电信号所产生的刺激效果是不一样的。我们研制的体内电刺激器,可以产生手术时所需要的具有不同的频率、幅度、占空比的不同波形信号。该仪器幅度、占空比准确,频率稳定,各参数均可以精确的调节。而且,由于使用了LCD显示,它与单片机的连接简单。LCD具有质量轻、体积小、电压低、功耗小、显示内容丰富等优点,其人机界面相当友好。但人机接口电路设计的优劣直接影响到整台仪器的使用效果。

根据需要,我们设计了5个键。这里,S1表示波形的振幅,S2表示波形的频率,S3表示波形的占空比,S4为+1键,S5为-1键。通过54,S5可以调节波形的各个参数值。其中,振幅可以是在一个参考值的基础上的0-99.9%;频率可以是1Hz-999Hz;占空比调节范围可以为1.0%-99.9%[1]。

接口电路篇6

关键词:发电机出口断路器;起动/备用电源

1.前言

华电莱州发电有限公司二期2×1000mw级超超临界火电机组工程,电厂规划8×1000mw超超临界火电机组;一期工程2×1000mw超超临界燃煤发电机组已建成投产,本期工程扩建2×1000mw级超超临界燃煤发电机组,同步建设烟气脱硫、脱硝装置。

莱州电厂一期工程2×1000mw机组通过双卷变压器接入电厂的500kV配电装置,厂内500kV电气主接线采用双母线接线,一期工程2×1000mw机组发电机出口未设断路器,起备电源从厂内500kV引接;二期扩建2×1000mw机组通过双卷变压器接入电厂的500kV配电装置,本期500kV配电装置在一期500kV配电装置的基础上扩建,新扩建的500kV配电装置与一期工程500kV配电装置通过母线分断相互连接。

考虑到一期工程,2×1000mw机组未设置发电机出口断路器,且起动/备用电源的引自500kV配电装置,两台机设置了一台85/53-53mVa的分裂变压器,每台机设置了两台容量为53mVa的双卷变压器,为单元负荷及公用负荷供电。经了解一期工程实际运行情况起动/备用电源容量尚有部分裕量。为此,本期起动/备用电源,结合发电机出口断路器的配置,考虑如下两个方案:

方案一:(不设GCB,起动/备用电源由500kV引接):每台发电机出口不设断路器(GCB),本期两台机组设一台起动/备用分裂变,其容量与厂用工作变压器相同,高压侧电源由厂内500kV配电装置引接,一级降压至10kV厂用母线。(基建部建议:在方案一的基础上二期启动/备用变压器与一期启动/备用变压器低压侧通过联络开关互联。)

方案二:(设GCB,事故停机电源从一期启动/备用变压器引接):每台发电机出口设断路器(GCB),本期不设备用电源,仅由一期启动/备用变压器引接停机电源。

2.发电机出口装设断路器的技术比较

2.1装设发电机出口断路器的优越性

发电厂装设GCB的主要作用是在于简运行操作程序,减小发电机和变压器的事故范围,简化厂用电切换合同期操作,提高其可靠性,方便调试和维护。

2.1.1GCB对发电机的保护作用

在发电机承受不平衡负荷或发电机出口发生不对称短路时,会在转子本体表面感应出两倍工频涡流,引起转子绕组过热。同时,两倍工频的交变电磁转矩使机组产生倍频振动,引起金属疲劳和机械损伤。附加发热和倍频振动都会严重威胁发电机的安全运行,GCB可以迅速切除故障,使发电机免遭损坏。当故障或异常情况消除后,允许发电机快速与系统并列运行。

2.1.2提高机组保护的选择性

当发电机发生内部故障或汽机、锅炉发生故障引起跳机时,GCB可迅速跳闸,使发电机与电网隔离,而不必连主变压器一并切除,厂用电源仍可由系统通过主变压器倒送,从而避免了厂用电系统的事故切换,提高了厂用电系统的可靠性,且为迅速处理故障创造了条件。

2.1.3避免机组启、停时厂用电源的切换,提高厂用电系统的可靠性

发电机出口装设GCB后,发电机组的起停电源是经主变压器倒送通过高压厂用变压器获得,从机组启动到发电机并网发电,整个过程都无需进行厂用电切换。正常运行时,只有在厂变故障情况下才需要厂用电切换,且调查资料显示,采用GCB可以使厂用电切换减少至约1/350,对电动机频繁起动造成的危害有较好的保护作用,可大大提高厂用电系统的可靠性,这一点极为有利于现场的运行。

2.1.4机组在同期或并网过程中的作用

利用GCB同期,不但可以保证同期操作的灵活、可靠,而且可以避免在主变高压侧同期待并时可能出现两侧电压反相而对设备绝缘造成严重的损伤;机组在并网或停机时只需通过GCB就可完成,不影响对厂用电系统的供电,可有效地缩短机组的启动时间,操作元件的相应减少也降低了误操作的几率。

2.1.5方便机组的试验、调试和维护

GCB将发电机―变压器组分为发电机和变压器两部分,由于这种电气分离由GCB实现,不同的系统可分开进行测试;厂用电由主变压器供电时,发电机可在欠励磁条件下进行测试;另外,发电机的短路试验也可方便地利用GCB所带的短路隔离开关来实现。GCB实现的这种实体隔离为发电机、变压器的调试和维护提供了更大的便利。

2.1.6改善大容量电机的启动条件

发电机出口装设GCB后,主变压器采用有载调压变压器,可以根据厂用高压母线的电压的实际情况调整母线电压,确保在大容量高压电机启动时,避免母线电压下降到要求值以下。

除了上述主要作用外,发电机出口装设GCB还可以使厂用起动/备用系统简化,便于布置,缩短故障切除时间等优点。

2.2发电机出口装设GCB后存在的问题

2.2.1故障点问题

发电机出口不装设GCB的机组发电机到主变之间只有封闭母线,安全性较高;发电机与主变之间串接GCB后,发电机变压器回路的可靠性要比无GCB时下降,GCB故障或检修时将影响整个机组的运行,具有一定的风险和不经济性。

2.2.2变压器有载调压问题

主变压器既要满足倒送厂用起动、停机电源的要求,又需有升压变压器的功能,两种不同情况时主变压器高压侧母线的电压波动较大,为了保证厂用电动机起动时高压厂用母线的电压水平,主变压器或高压厂用变压器需采用有载调压型,有载调压开关本身结构较无载调压开关复杂,投资较大,而且频繁操作有可能发生故障,因此采用有载调压开关既增加了投资,也降低了可靠性,对机组的安全可靠运行带来一定的不利影响。

2.2.3GCB价格及运行维护的问题

发电机出口断路器GCB本身投资较大,而且必须是进口,采购周期较长,由于进口采购,其备品备件的采购也不是非常方便,有可能因备品备件采购的不及时影响到整个机组的运行。另外由于高厂变或主变需要采用有载调压开关,也将增加投资。

2.2.4结论

通过以上技术分析比较,发电机出口装设GCB优于不装设GCB,可以简化厂用电切换操作程序,提高发电机及主变、高压厂用变压器的保护水平,简化同期操作,便于检修、调试,缩短故障恢复时间,提高机组可用率,节省高压备用变压器在热备用方式下的空载电能损耗。但初投资较高,GCB设备为全套进口设备,设备维护技术门槛较高、备品备件采购不便。

下面将结合起动/备用电源的引接方案进行综合的分析比较。

3.起动/备用电源的引接方式

3.1技术分析

3.1.1起/备电源可靠性比较

起/备电源采用500kV引接时,本期500kV升压站扩建后,形成双母线双分断接线且增加一回出线,升压站的可靠性较高,故起/备电源的可靠性也将提高。

3.1.2起/备变可靠性

500kV/10kV-10kV起动/备用变压器,目前此种变压器的运行业绩也较多,变压器的可靠性也能较好满足要求。

3.1.3发电机出口设GCB,对起/备变可靠性的要求

发电机出口不设GCB时,起/备变不仅作为起动和停机的电源,而且还作为工作变压器事故或检修状态下的备用,因此对起/备变的可靠性要求高。当发电机出口设GCB时,机组正常起动时的电源可从500kV通过主变倒送过来。起/备变的功能作为机组的事故停机电源和高厂变的检修备用,因而对备用变压器的可靠性要求可适当降低。

3.2方案比较分析

方案一:采用和一期工程同样的配置,即不设发电机出口断路器,起备电源由厂内500kV母线引接。

(1)此方案接线简单清晰,一二期厂用电之间无相互电气联系,各自系统互相独立,不会产生相互影响,可靠性高。

5.结论

方案一:不设GCB,起动/备用电源由500kV引接的优缺点如下:

优点:(1)发电机出口不装设GCB的机组发电机到主变之间只有封闭母线,安全性较高;接线简单可靠,且与一期系统保持一致;

(2)一二期启动/备用变压器之间增加联络后能增加机组备用电源的可靠性;

(3)初始投资较少,节省的投资在收益率上完全能覆盖启动/备用变压器的空载损耗和维护成本。

缺点:(1)在启、停机时厂用电源切换频繁;

(2)一期启动/备用变压器继电保护装置需要改造。

方案二:设GCB,事故停机电源从一期启动/备用变压器引接的优缺点如下:

优点:(1)减化操作程序,提高供电可靠性;只有在极特殊的情况下,即主变或高压厂用变故障时需进行厂用电电源切换,事故恢复快,提高厂用电供电的可靠性;

(2)简化发电机的同期回路;对保护主变压器、高压厂用工作变压器有利;

(3)缩小继电保护分区,提高保护的动作选择性和故障分辨能力,简化保护接线等。

缺点:(1)发电机与主变之间串接GCB后,发电机变压器回路的可靠性要比无GCB时下降,GCB故障或检修时将影响整个机组的运行,具有一定的风险和不经济性。

(2)设备的一次性投资较方案一高1580万元,不安装启动/备用变压器所带来的经济效益并不明显,不能覆盖设备前期的一次性投资。

(3)一期启动/备用变压器继电保护装置需要改造。

(4)有突破规程《火力发电厂设计技术规程》、《大中型火力发电厂设计规范》的规定之处。

综合考虑两种方案的优缺点及电厂30年的运行成本的经济性及维护工作量,本阶段推荐采用方案一(不设发电机出口断路器,起备电源由厂内500kV母线引接)。

参考文献:

接口电路篇7

VXi(VmebuseXtentionforinstrumentation)总线是一种完全开放的、适用于各仪器生产厂家成为高性能测试系统集成的首选总线。VXi总线器件主要分为:寄存器基器件、消息基器件和存储器基器件。目前寄存器基器件在应用中所占比例最大(约70%),其实现方法在遵守Vme协议的前提下,根据实际需要各有不同。VXi接口电路用于实现器件的地址寻址、总线仲裁、中断仲裁和数据交换等。设计VXi接口首先需明确寻址空间和数据线宽度,VXi器件寻址有a16/a24、a16/a32和a16三种。a16/a24寻址支持16m字节空间,a16/a32寻址支持4G字节空间,a16寻址支持64字节地址空间,但不论哪种寻址方式,a16寻址能力是不可缺的。本文设计的VXi寄存器基接口电路是a16寻址的,支持D8和D16数据线传输,有较宽的使用范围。其接口电路原理框图如图1所示。

1DtB及DtB仲裁

DtB(数据传输总线)及DtB仲裁是VXi接口的核心,DtB主要包括:寻址总线、数据总线和控制总线。其主要任务是:①通过地址修改码(am)决定寻址空间和数据传输方式。②通过DS0*、DS1*、LwoRD*、a1控制数据总线的宽度。③通过总线仲裁决定总线优先使用权。

VXi总线器件在a16(16位地址)寻址时,有64字节的地址空间,其呈部分作为器件配置寄存器地址(已具体指定),其余可用作用户电路端口地址。每个器件的寄存器基地址由器件本身唯一的逻辑地址来确定。地址修改线在DtB周期中允许主模块将附加的器件工作模式信息传递给从模块。地址修改码(am)共有64种,可分为三类:已定义修改码、保留修改码和用户自定义码。在已定义的地址修改码中又分为三种:①短地址am码,使用a02~a15地址线;②标准地址am码,使用a02~a23地址线;③扩展地址am码,使用a02~a31地址线。a16短地址寻址主要是用来寻址器件i/o端口,其地址修改码为:29H、2DH。

图2为VXi器件寻址电路图,其中U1为可编程逻辑器件,其表达式为:VXiena*=aS*+!iaCK*a14+!a15+!am5+am4+!am3+am1+!am0;(!iaCK*表示系统无中断请求)。寻址过程为:当VXi主模块发出的地址修改码对应为29或2D、总线上地址a6~a13和逻辑地址设置开关K1的设置相同并且地址允许线aS有效时,图2中的mYVXiena*有效(为低),表示本器件允许被VXi系统寻址。在允许本器件寻址的基础上(即mYVXiena*有效),再通过mYVXiena*、a1~a5、LwoRD*、DS0*、DS1*译码生成64字节地址,根据Vme总线协议可译出单字节地址和双字节地址。协议协定:当单字节读写时,奇地址DS0*为低、DS1*为高,偶地址DS1*为低、DS0*为高,LwoRD*为高;双字节读写时,DS0*和DS1*为低、LwoDR*为高;四字节读写时,DS0*、DS1*和LwoRD*都为低。

DtB数据传输应答主要依赖DtaCK*和DS0*之间的互锁性握手关系,而与数据线上有效数据什么时候出现无关,所以单次读写操作的速度完全决定应答过程。为适应不同速度用户端口读写数据的可靠性,本文采用由用户端口数据准备好线(DatReaDY*)去同步DtaCK*答应速度的方法来保证数据传输的有效性。该方法的优点是电路简单、使用方便,缺点是占用DtB时间长,影响VXi系统性能,且最长延时时间不得超过20μs。通常情况下用户可通过数据暂存的方法实现数据可靠传输,并使用户端口数据准备好线(DatReaDY*)接地。由于寄存器基器件在VXi系统中只能作为从模块使用,所以其总线请求只有该器件发生中断请求时才由中断管理模块提出。

2中断请求及仲裁电路

VXi系统设有七级中断,优先中断部迟疑不决包括:①中断请求线iRQ1*~iRQ7*;②中断应答线iaCK*;③中断应答输入线iaCKin*;④中断应答输出线iaCKoUt*。从系统的角度看,在VXi系统中有一个成菊花链的中断查询系统。当VXi系统中有中断请求时,中怕管理器使中断应答信号iaCK*有效(置低),并送往菊花链驱动器,菊花链驱动器使输出iaCKoUt*有效,送至相邻的下一个器件。如果相邻器件没有中断请求,则该器件的iaCKoUt*输出仍为低,继续向下一个相邻器件传送;当此器件有中断请求时,所以其输出iaCKoUt*为高,进入中断过程,并屏蔽后级器件的中断应答。

图2

   为实现中断请求和中断仲裁,每个器件的中断仲裁电路应完成的功能为:①产生中断请求;②上传状态/识别码;③屏蔽后级中断应答。本文设计的中断仲裁电路如图3所示。其中tX1~tX3来自中断号选择跳线器,inneR-iRQ为器件内部用户电路中断请求信号,上升沿有效。中断请求过程分如下四步:(1)在系统复位或中断复位(来自控制寄存器)后,iRQopen*为“1”使比较电路输出“1”,使中断应答菊花链畅通,且译码电路不工作。(2)当本器件内有中断请求时,使iRQopen*为“0”,则译码电路根据中断置位开关的设置输出相应中断请求信号iRQx*。当中断管理器接收中断请求信号后使iaCK*有效,并送往中断菊花链驱动器使之输出iaCKoUt*有效,同时中断管理器请求DtB总线使用权。(3)当中断管理器获得DtB使用权后,根据接收到的中断请求信号,在地址允许线aS+作用下在地址线上输出相应的a1~a3地址,使比较器输出“0”,从而使iaCKoUt*变高,屏蔽后续中断,并清除本器件内部中断请求。(4)中断管理器使数据允许信号DS0*为低,读出器件状态/识别码,响应中断,同时在DS0*的上升沿清除中断请求(使iRQopen*为“1”),接通中断应答菊花链,进入中断过程。

3可编程器件实现和调试

为了克服用中小规模集成电路实现VXi接口电路存在的体积大、可靠性差和可调试性差等不足,可采用可编程器件实现接口电路。本文采用的器件是aLteRa公司的maX系列,采用的器件可编程软件平台的maX+plusii。maX+plusii在编程上提供了多种电路描述形式,主要有图形描述、aHDL描述和VHDL描述等。本文采用图形描述和aHDL描述相结合的描述方法。接口电路的主框架结构和能够用标准元件表述的子模块电路用图形描述方法设计,部分功能子模块用aHDL语言描述。这种设计方式的电路原理结构直观、功能描述简洁。VXi接口电路硬件描述子程序模块由地址修改码器件寻址、端口地址译码、中断请求及控制、寄存器配置四部分组成。

在VXi器件中,寄存器配置步骤是必不可少的,VXi寄存器基器件主要配置寄存器有:识别/逻辑地址寄存器、器件类型寄存、状态/控制寄存器。在接口电路的性质特性明确的前提下,寄存器基器件的配置是确定的,所以直接在可编程器件中实现,且更改也很方便。以下列出的是VXi寄存器基接口电路的主要逻辑表达式(用aHDL语言格式):

VXiena=aS#!iaCK#!a14#!a15#!am5#am4#!am3#am1#!am0;

mYVXiena=VXiena#(a6$Q0)#(a7$Q1)#(a8$Q2)#(a9$Q3)#(a10$Q4)#(a11$Q5)#(a12$Q6)#(a13$Q7);

aCKeD=(tX1$a1)#(tX2$a2)#(tX3$a3)#iaCK#!SYSRSt#!iRQpenD#aS#iaCKin;

DtaCKnoDe=!(DS0&DS1#mYVXiena&aCKeD);

DRaCK=DFF(DtaKnoDe,SYSCLK,VCC,VCC);

ioena=mYVXiena#DS0&DS0&DS1#!LwoRD;

iaCKoUt=aS#iaCKin#!aCK;

需要注意的是,在使用中由于部分信号线与VXi背板总线连接时需要采用集电极开路方式接入,如DtaCK*、SYSFaiL*、BRx*等,所以应增加一级集电极开路门电路后再与VXi背板总线连接。

接口电路篇8

【关键词】现场总线pRoFiBUS-Dp从站VpC3+CStm32F103

一、引言

现场总线是一种工业数据总线,近年来得到了迅速的发展。现场总线有三种形式:profibus―Dp、profibus―FmS、profibus―pa,其中profibus-Dp以其灵活性和可靠性等优点得到了世界范围内的广泛应用,但并不是每个设备都可以接到profibus网络中,这就使得为工业设备开发profibus通信接口显得尤为重要。

二、pRoFiBUS-Dp从站接口设计的整体思路

pRoFiBUS―Dp用于分散外设间高速数据传输,适用于加工自动化领域。profibus的传输速率为96~12kbps,最大传输距离在12kbps时为1000m,可用中继器延长至10km,最多可接127个站点。profibus协议模型中第一层是物理层,第二层是数据链路层,三至六层未使用,第七层是应用层,最后是用户层。profibus―Dp从站接口选用Stm32F103处理用户发送的数据,协议芯片VpC3+C处理profibus―Dp数据链路层的协议,通过RS485实现物理层通信。采用协议芯片不必去了解pRoFiBUS-Dp的具体细节,只要了解协议的相关内容,这样使我们更方便的设计接口电路。本文设计的pRoFiBUS-Dp从站接口在总线控制系统中的大体框架。

三、pRoFiBUS-Dp从站硬件接口的设计

目前profibus-Dp从站开发有两种方案:(1)单片机+软件,但需要了解通信中的大量的协议;(2)单片机+profibus通信的专用芯片,该芯片集成了profibus通信所有的协议,避免对大量协议的掌握。本文选用第二种方案,设计的从站接口主要包括以下五部分:电源模块、aD/Da转换模块、Stm32F103与VpC3+C接口模块、RS485转换模块和从站地址选择电路。从站采用反激式开关电源供电,可提供3.3V和5V两路隔离的电源。pRoFiBUS-Dp从站通采用4~20ma/1~5V模拟信号传输方式,对4~20ma的输入信号通过aD转换进行校准和量程变换后将其转换为Dp总线输入数据,对Dp总线输出数据通过Da进行校准和量程变换转换为1~5V的输出信号。pRoFiBUS-Dp支持的站地址由0到126共127,采用一个八位拨码开关防止寻址过程发生地址的冲突。

3.1微处理器与VpC3+C接口

Stm32F103是增强型32位Cortex-m3,6K字节至20K字节SRam,多达80个快速io口,所有io口可以影像到16个外部中断。VpC3是用于从站开发的通信协议芯片,它支持3.3V和5V电压的供电,并且包含profibus通信的全部协议,即不用考虑电源转换问题也减少对协议的掌握。VpC3内部集成4KB的双口Ram,有16个没有优先级的中断存储。VpC3与Stm32F103的通信有两种模式:intel模式和motorola模式。本文选择intel模式,VpC3相当于Stm32F103的外部Ram,通过双口Ram交换数据,VpC3内部有地址解码器,所以aB8―aB10接地。DB7―DB0可用作数据地址复用总线产生低八位数据和地址,aB0―aB3产生高四位地址,VpC3的片选信号由aB4―aB7控制,当aB4―aB7全为零时,选中VpC3。由于VpC3的aB0―aB7是地址数据复用总线,所以与Stm32F103的io连接是通过锁存器相连,锁存器的时钟控制端通过反相器与VpC3的aLe控制,地址总线直接与Stm32F103的io口相连。VpC3的复位引脚与Stm32F103的pC9相连,可以通过软件复位VpC3。VpC3与Stm32F103进入数据交换状态时,VpC3的引脚XDataeXCH输出低电平,利用这个特点在该引脚与VDD直接接个LeD指示灯,当进入数据交换状态时,指示灯就会被点亮。电路图如1所示。

3.2VpC3与RS485接口

profibus通信的物理层为RS485,VpC3芯片本身不带RS485接口,所以本设计中采用iL3685芯片实现RS485信号转换。iL3685是现场总线隔离收发器芯片,具有RS485收发和隔离功能。使用一片iL3685设计方法可替代光耦+RS485通讯芯片,简化了电路设计。iL3685一侧与VpC3相连,另一侧通过DB9与profibus―Dp电缆相连。VpC3的请求发送端口、串行发送端口、串行接收端口与iL3685直接相连。iL3685有一个特殊的引脚iSoDe与DB9的DtR相连。

四、pRoFiBUS-Dp从站软件的设计

pRoFiBUS-Dp从站的软件设计主要是完成现场总线通信控制和处理用户数据,VpC3中集成的profibus―Dp状态机,可以描述profibus―Dp站的各种情况下的行为,Stm322F103的主要任务是初始化和启动VpC3+C以及处理中断诊断事务,转存通过VpC3接收来自主站的数据,同时把设备发出的数据通过VpC3反馈给主站,然后通过主站的组态参数发送控制命令给设备,使得设备发生相应的变化,从而完成一个程序的循环过程。该软件部分主要有以下几个模块组成:

(1)主程序:主要完成Stm32F103初始化设置波特率、系统时钟、中断方式等;VpC3+C初始化设置中断允许、设置地址/参数/配置缓冲区及长度;aDC、DaC初始化,设置从站地址;

(2)a/D、D/a调整模块:主要包括数据的采集、数据的转换,aD转换结束读取转换数据;

(3)通信模块:主要指的是VpC3+C通过RS485与主站之间的通信;

(4)时钟设置程序:包括串口波特率时钟、aD/Da采样时钟;

(5)中断模块:主要是处理从站参数、组态数据检测和从站地址选择;

(6)头文件:定义一些变量和宏接口,使得访问VpC3寄存器更加方便。

主程序和中断程序流程图如下图2所示。

接口电路篇9

吉安841台有一部上海明珠产tS―01B和一台哈广产GZ―G1K中波发射机,属于早期的pDm固态机,只要增加合适的通信接口电路,采用RS-485总线建立多机通信系统,就可实现通过控制室微型计算机观看、监测并记录发射机的工作状态。

一、发射机通信网络原理。

利用RS-485总线建立多台发射机通信网原理如图(1)所示。

微型计算机与发射机的通信采用异步串行通信,利用RS-232/RS-485转换接口进行数据电平转换。

二、RS-485接口电路设计。

RS-485总线驱动芯片可以用Sn75176Bp或Sn65HVD21p等。Sn75176Bp芯片很适合做RS―485总线驱动;发射机取样后数据处理用at89S51单片机,硬件组成简单,功能强大;发射机控制板采样的数据经放大、光电耦合,送入单片机at89S51;Sn75176Bp芯片的R、D通过光电耦合器6n136分别与at89S51单片机的异步通信口RXD(p3.0)和tXD(p3.1)相连接,转换成RS-485总线,原理如图(2)。

系统上电复位时,Sn75176Bp的De端应是低电平,这样才能保证微型计算机与各发射机的通信口正常通信。因此,在单片机p1.X加一个高速CmoS反相器74HC04连接到Sn75176Bp的Re、De端,当单片机加电复位时,p1口输出FFH,经反相器74HC04,De为低电平。

三、通信协议。

开始时所有发射机通信口复位,处于等待微型计算机呼叫状态,RS-485总线是异步半双工通信总线,在任何时刻,总线上有一部发射机通信口被微型计算机巡视呼叫,这样就必需设计一套合理的通信协议来协调总线的分时使用。给每一部发射机设置一个唯一的地址号,以便微型计算机识别后作出相应的处理。微型计算机向发射机接口发数据时要带目的发射机地址,格式如下:发射机地址号(地址字节)(1)+命令/数据字节(1―7)+enter

接口电路篇10

【关键词】数字视频广播通用接口;条件接收;机卡分离;高性能系统总线

1.引言

在数字视频广播(DigitalVideoBroadcast,DVB)的一系列标准中,条件接收(Conditionalaccess,Ca)用来控制广播业务的接收[1]。外置式解密系统采用了欧洲的多密技术,可使用户接收不同的加密算法所加密的节目,即用一台机顶盒能够接收不同Ca节目的技术。机顶盒与外部Ca模块之周通过数字视频广播通用接口连接,完成外部模块到机顶盒的通信,并实现节目解密,解扰[2]。本文给出了一种数字视频广播通用接口到高性能系统总线(advancedHighperformanceBus,aHB)转换电路的实现,能广泛使用于各种Ca系统中。

2.外置式机卡分离接口技术

外置式机卡分离技术的基本思想是将解扰、Ca以及其它需要保密的专有功能集中于一个可拆卸的模块中。如图1所示,外置式机卡分离技术由主机(又称机顶盒)和外部Ca模块两部分组成,在主机和Ca模块之间依靠一个标准的数字视频广播通用接口(DigitalVideoBroadcast-Conditionalinterface,DVB-Ci)进行连接和通信[3]。

采用这种方案的优点在于,同一机顶盒可接收任意Ca系统加扰控制的节目。当选择更换Ca时只需换用相应的Ca模块,机顶盒可以保持不变。一般机顶盒扩展有多个DVB-Ci,可同时与多个Ca模块相连[4]。并自动或在人机交互的基础上识别哪个Ca模块应处于工作状态。采用多密技术,从用户角度讲.不会因购买一家Ca的机顶盒而与此Ca绑定死,用户还有选择其他Ca服务的可能性,同时Ca系统的更新升级也十分方便。

3.从DVB-Ci到aHB的转换实现方法

目前在数字音视频SoC系统中很多采用高级微控制器总线架构(advan

-cedmicrocontrollerBusarchitecture,amBa),本设计实现了从标准的DVB-Ci数据格式到amBa规范中aHB部分的转换,并支持3路串行同时输入或1路并行输入。

3.1DVB-Ci接口格式

标准的DVB-Ci接口数据格式如图2所示。

前级芯片的时钟是一个总是在跑不停的时钟,如图2所示,CLK为了适应频率的差别可能会跳过几个前级芯片时钟脉冲。所有的输出信号都在CLK的上升沿保持稳定,在下降沿变化。一个完整的包数据包含188个数据,需要204个时钟周期,其中188个时钟用于数据接收,16个时钟用于奇偶校验[5]。

3.2系统框图及测试框架

如图3所示,给出了DVB-Ci的系统实现及验证方法。DVB-Ci由3个串行采样电路、一个并行采样电路、4个写先入先出(FirstinFirstout,FiFo)时序转换电路和1个FiFo控制器组成。数据的来源是外部的DVB-Ci标准信号,本设计测试时用3个串行输入模型和1个并行输入模型来模拟外部的DVB-Ci输入信号做系统验证。系统采样外部DVB-Ci输入的数据,然后经过写FiFo时序转换电路的把数据格式转换成写FiFo的时序格式。FiFo控制器实现FiFo数据的写和读控制并发起占用总线请求。

FiFo控制器实现各路信号的控制,可支持3路串行数据同时接收或则1路并行数据接收。该电路为了减少芯片面积,只采用被划成四片的1个单口FiFo,各片分别代表3路串行的输入和1路并行的输入,FiFo的读写时间分配如如图4所示。

因为系统时钟频率高于接口时钟频率的6倍,3路串行输入可同时传输,而并行和串行不能同时输入。当并行输入时数据传输率最高,最高可达320mbit/s。把24个系统时钟周期分成一个时间片,其中8个时钟用来给FiFo写,16个时钟用来给FiFo读,每个时钟读写都是8bit。可以得到FiFo写的速度和读的速度都比接口速度要高,而FiFo读速度比FiFo写的数据要高。也就是说只要是接口接收到的数据都能写入FiFo,写入FiFo的数据都有时间读出去。

对于从FiFo读出来的数据到aHB总线的实现电路如图5所示。有4个预读取寄存器分别保存从4个FiFo读取的数据。当某片FiFo的数据不为空并且该片对应的预读取寄存器里没数据时,预读取寄存器会读取FiFo里的一个数据保存下来以便发送。当下个周期预读寄存器里的数据被读走时若FiFo里还有数据,则会自动读取FiFo里的数据。

4片FiFo每片FiFo都会向内部仲裁器发起请求占用总线,发送请求类型根据每片FiFo数据个数分别发送inCR16、inCR8、inCR4、inCR请求方式。仲裁器会根据优先级仲裁各片FiFo发送的请求。仲裁的优先级顺序从高低的分别为:inCR16、inCR8、inCR4、inCR。当各片FiFo处在同一个请求方式时,仲裁的优先级顺序从高低的分别为:S3、S2、S1、S0。总裁其除了要实现请求的总裁外,还要把数据转换成aHB的传输格式发送到aHB总线上。

4.系统优点

(1)系统面积小,FiFo利用率高。系统采用一个单口的FiFo,占用更少的芯片面积资源,降低了成本。把一个单口的FiFo划分成四片,每片大小根据需要软件可配。如图5所示,如果只接收S0路,则把整个FiFo都分给S0路;如果同时接收两路,则可把FiFo平均分成两个单元。与传统采用四个FiFo相比,减小了系统面积,提高了FiFo利用率。

(2)出错率低。因为FiFo划分为四片,大小可变化。同样大小的FiFo,这种变化,可根据传输情况对每一片的FiFo单元配置一定的存储空间,使FiFo合理有效利用,使出现FiFo溢出的概率更小,数据不易丢失。

(3)传输速度快,支持猝发传输。满足系统时钟频率高于接口时钟频率的6倍的情况下,能够实现低误码传输,即使在总线仲裁器里处在低优先级也不易产生数据丢失。

(4)多路传输性和可扩展性。可支持三路串口同时传输,也可支持并口传输,并且随着系统时钟的提高,在此基础上可灵活扩展更多路的输入。

5.结束语

本方案给出了一种从DVB-Ci数据格式到aHB总线数据格式转换的方法,在满足一定系统时钟频率的情况下支持把三路串行输入或一路并行输入的DVB-Ci数据发到aHB总线上。已经过数字仿真软件的详细仿真,功能全部实现,可集成于数字音视频SoC产品中。

参考文献

[1]彭文俊,杨斌.DVB通用接口的关键技术及实现方法[J].微计算机信息,2007.

[2]潘睁,苏凯雄.DVB条件接收系统公共接口(Ci)的研究[J].福建电脑,2004(1):24-25.

[3]吴好.一种基于DVB的数据广播接收系统的设计和实现[D]福州大学,2006.

[4]朱倩,黄焱.DVB条件接收系统结构研究[J].中国有线电视,2005(02).