首页范文大全简述集成电路设计流程十篇简述集成电路设计流程十篇

简述集成电路设计流程十篇

发布时间:2024-04-30 01:52:15

简述集成电路设计流程篇1

[关键词]数字电子电路;eDa技术;应用;探究

在微电子技术飞速发展的背景下,数字电子电路的设计的难度也在不断加大,电子产品翻新的速度也在不断加快,这给数字电子电路设计带来了较大的压力。eDa技术是数字电子电路的设计中较为先进的技术,具有其他技术不具备的优势,使数字电子电路的设计得到了革命性的发展[1]。eDa技术的优势在于当程序修改错误时,不需要使用额外的硬件电路,且在使用eDa技术进行电子产品设计时能够使电子产品的成本降低和设计周期缩短。因而,eDa技术在数字电子电路设计中得到了越来越广泛的运用,也推动了数字电子电路的设计领域的变革,促进电子产品的发展。对此,我们需要eDa技术在数字电子电路的设计中应用有所了解。

1eDa技术概述

eDa(electronicDesignautomation,电子设计自动化)技术是逐渐从计算机辅助测试、计算机辅助制造、计算机辅助设计以及计算机辅助工程中发展而来的[2]。该技术主要是将计算机作为载体,在eDa软件平台上,设计者主要采用硬件描述语言VHDL进行设计,进而由计算机自动完成各项工作。eDa技术是一种融合了当前多种新型技术的新技术,它以计算机为载体,将计算机技术、信息技术、电子技术以及智能技术相互融合起来,进而完成电子产品的自动化设计工作,这样有效促进了电路设计的可操作性以及效率性,不仅保障了电路设计的质量和效率,同时也极大地减轻了设计者的工作强度,同时也降低了电子产品的生产成本。具体来说,eDa技术的特点以及eDa技术设计流程如下。

1.1eDa技术的特点

相比于传统的CaD(ComputeraidedDesign,计算机辅助设计)技术而言,eDa技术具有显著的特点。首先一点,eDa技术在硬件电路选择软件设计方式方面上,它可以选择多种设计输入,如VHDL语言、波形等等,它在完成下载配置前能够在没有硬件设备的情况下能够自行完成。与此同时,它在修改硬件设备也是非常简单、易于操作,这种修改硬件设备的方式和软件程序修改方式非常接近,采用软件测试的方法对其进行测试,这样就能科学有效地设计特定功能的硬件电路[3]。第二点,eDa技术能够仪自动化的形式进行产品直面设计。它可以通过HDL语言和电路原理图等自动化的逻辑编译的相关程序输入其中,并生成相应的目标系统。简单说来,这种技术能够以计算机为依托,从电路功能模拟、电路性能分析、电路的设计以及优化、电路功能的测试和完善等全部流程都可以以自动化的形式实现。第三点,eDa技术具有较高的集成化特点,并可以自身构成片上系统。eDa技术在数字电子电路设计中是以芯片为载体进行设计的一种设计方式。因而,当前大规模集成线路的不断发展能够有效促进繁杂的芯片设计工作的完成,同时也能够完成专业化的集成电路设计[4]。第四点,eDa技术可以大大提高系统升级的工作效率,它能够当场进行目标系统的编程,实现有效的系统升级。第五点,eDa技术具有自动化的特点,且进行技术开发的时间并不长,且能够有效节约设计的费用,避免了资源的浪费,同时eDa技术也具有极大的灵活性和实用性,可操作性较强。

1.2eDa技术设计流程简介

eDa技术对于数字电子电路设计的意义可以认为是它将推动了数字电子电路设计的一个发展变革,使其进入了一个发展的新时期。传统的电路设计的模式多是以硬件搭试调试焊接的方式,而e-Da技术以计算机自动化的设计模式对传统的电路设计模式进行了创新。eDa技术设计流程主要包含8个流程依次为[5]:设计指标设计输入(将电路系统采用一定的表达式输入计算机,其中包括图形输入以及文本输入)逻辑编译(将设计者在eDa中输入的图形或文本进行有效的编排转化)逻辑综合(将电路中高级的语言转化为低级的,并与基本结构相应射)器件适配(将由综合器产生的网表文件配置到指定文件中,使之能够下载文件)功能仿真(跟进吧算法和仿真库对涉及进行模拟,以验证其涉及是否和要求一致)下载编程(将适配后生成的配置文件和下载文件以编程器下载)目标系统。

2可编程逻辑器件

数字逻辑编辑器具有自身的发展历程,一般可以将其分为分立元件、中小型标准芯片以及可编程逻辑器件等三个阶段。对逻辑器分类方面可以将其分为固定逻辑器和可编程逻辑器。其中固定逻辑器的电路是固定的、不可变的,而可编程逻辑器则可以为使用者提供多种逻辑能力,也可以在不同的时间内进行改变,进而完成不同的功能[6]。可编程逻辑器件(programmablelogicdevice,pLD)产生于通用集成电路,根据使用者对器件编程来确定其逻辑功能。可编程逻辑器件具有较高的集成度,一般能够满足大多数数字系统设计的需求。在科学技术快速发展的情况下,可编程逻辑器件也随之不断发展。当前,可编程逻辑器件已经成为解决逻辑方案的首选,这主要是因为它能够根据用户的需求进行相应的产品功能增加以及产品升级,且操作较为简便,具有低成本、低消耗、多功能、高集成性等优势。与此同时,当前一些公司也在不断对其进行研究,不断完善可编程逻辑器件的功能,并获得了较为显著的效果,如altra公司的FLeX10K的系列产品、Xilinx公司的XC4000的系列产品[7]。

3VHSiC硬件描述语言

VHSiC硬件描述语言(Very-High-Speedinte-gratedCircuitHardwareDescriptionLanguage,VHDL)是电路设计中使用的一种高级语言,主要在20世纪80年代由美国国防部认定的标准硬件描述语言,之后其他公司纷纷推出了VHSiC硬件描述语言设计环境。对此,我们需要对VHSiC硬件描述语言具有一个较为清晰的了解。数字电子电路设计的第一步就是使用eDa技术以及相应的软件开发工具进行设计输入。简单地说就是简要描述电路设计、硬件设计以及测试方法。在设计一些规模不大的数字电子电路时,一般硬件描述的方式为原先的时序波在设计一些大规模的数字电子电路时,其描述方式就需要采用具有较强针对性的硬件描述语言。VHSiC硬件描述语言不仅能够详细描述硬件电路的功能、定时与信号连接的关系,而且还能采用简洁的模式准确描述硬件电路中逻辑较为抽象的部分[8]。由于VHSiC硬件描述语言具有详细准确描述硬件电路功能的特征,因而,VHSiC硬件描述语言成为eDa技术在数字电子电路设计中最为常用的设计输入方式和描述语言。在数字电子电路设计中,VHSiC硬件描述语言已经成为使用最为广泛的硬件电路应用描述语言。这主要是因为VHSiC硬件描述语言具有硬件特点的语句,其结构和语法具有高级计算机具有高度相似性。除此之外,VHSiC硬件描述语言在程序结构上也有着十分明显的优势,它进行实体设计时能够将其设为可视部分和不可视部分。从中可以发现,VHSiC硬件描述语言与综上所述,可以看出VHDL硬件描述语言比传统的其他硬件描述语言相比,如aHDL、VBLe,具有强大的描述功能,能够有效规避器件的复杂结构,进而对数字电子电路设计进行有效的描述[9]。具体说来,与其他硬件描述语言相比,VHSiC硬件描述语言的特点主要有以下几个方面:其一,具有强大的功能以及灵活的设计。这主要是VHSiC硬件描述语言有着功能强大的语言结构,能够采用简短的语言进行复杂逻辑的描述;同时,它也具备多层次的设计功能,支持多种设计方法。其二,具有广泛的支持性,且易于修改。由于VHSiC硬件描述语言已经成为使用最为广泛应用描述语言,因而具有广泛的支持性;由于其结构化和易读化的特征,因而易于修改。其三,系统硬件描述能力强大,VHSiC硬件描述语言可以进行结构描述、寄存器传输描述、行为描述,也可以进行三者混合描述。其四,与器件设计相对独立,在进行VHSiC硬件描述语言可以不用考虑器件设计情况,专心用于VHSiC硬件描述语言设计的优化。其五,移植能力强,能够共享。VHSiC硬件描述语言设计完成后可以将成果进行分享,避免电路的重复设计。除此之外,VHSiC硬件描述语言还具有其他的特征:其一,VHSiC硬件描述语言属于设计输入语言,它能够通过计算机详细描述硬件电路的运行状态,并将其与数字电路的设计系统自动综合。其二,VHSiC硬件描述语言是常用的测试语言,它能够以测试基准对数字电子电路进行可以仿真与模拟,进而判断其功能情况。其三,VHSiC硬件描述语言是标准化语言,它是当前设计语言中使用最为广泛的语言之一,也是当前电子领域普遍认可的标准化语言。其四,VHSiC硬件描述语言是可读性语言,它不仅可以被计算机识读,同时也可以被设计者识读。其五,VHSiC硬件描述语言一种网表语言,它独特的语言结构让其在计算机设计中工作较好,同时它在设计工具间联系的格式中属于低级设计工具,即它在门级网表文件形成中具有相互转化的功能和高度兼容性。

4eDa技术在数字电子电路设计中的应用

我们可以通过设计一个数字钟电路来展现e-Da技术在数字电子电路设计中的应用,该数字电路钟能够显示秒、分、时。

4.1准备的设备

本次实验主要是选用FpGa芯片eDa技术实验工具以及电子计算机。

4.2实验设计方法

依照eDa技术的设计规范进行分层设计,其内容包括数字钟;时计数、分计数、秒计数以及译码显示;24进位制计数器、60进位制计数器以及译码显示电路。在VHDL语言描述上,要使用VHDL语言对60进位制计数器、24进位制计数器进行描述编程,并将两者进位标准进行调整,使其一致。关于译码显示电路的设计。在设计中可以使用动态译码扫描处理电路进行处理,这能够某个时间点点亮单个数字码而达到6个同时显示的视觉效果,这样不仅将电路能耗降到最低,同时也节约了器件资源,并延长了器件的使用寿命[11]。关于顶层设计,在这一设计中需要建立在底层设计模块的基础上,通过原理图方法将两者进行有机的融合,进而获得一个完整电路。

4.3编译下载

简述集成电路设计流程篇2

关键词:集成电路设计;版图;CmoS

作者简介:毛剑波(1970-),男,江苏句容人,合肥工业大学电子科学与应用物理学院,副教授;汪涛(1981-),男,河南商城人,合肥工业大学电子科学与应用物理学院,讲师。(安徽?合肥?230009)

基金项目:本文系安徽省高校教研项目(项目编号:20100115)、省级特色专业项目(项目编号:20100062)的研究成果。

中图分类号:G642?????文献标识码:a?????文章编号:1007-0079(2012)23-0052-02

集成电路(integratedCircuit)产业是典型的知识密集型、技术密集型、资本密集和人才密集型的高科技产业,是关系国民经济和社会发展全局的基础性、先导性和战略性产业,是新一代信息技术产业发展的核心和关键,对其他产业的发展具有巨大的支撑作用。经过30多年的发展,我国集成电路产业已初步形成了设计、芯片制造和封测三业并举的发展格局,产业链基本形成。但与国际先进水平相比,我国集成电路产业还存在发展基础较为薄弱、企业科技创新和自我发展能力不强、应用开发水平急待提高、产业链有待完善等问题。在集成电路产业中,集成电路设计是整个产业的龙头和灵魂。而我国集成电路设计产业的发展远滞后于计算机与通信产业,集成电路设计人才严重匮乏,已成为制约行业发展的瓶颈。因此,培养大量高水平的集成电路设计人才,是当前集成电路产业发展中一个亟待解决的问题,也是高校微电子等相关专业改革和发展的机遇和挑战。[1-4]

一、集成电路版图设计软件平台

为了满足新形势下集成电路人才培养和科学研究的需要,合肥工业大学(以下简称“我校”)从2005年起借助于大学计划,和美国mentorGraphics公司、Xilinx公司、altera公司、华大电子等公司合作建立了eDa实验室,配备了modelSim、iCStation、Calibre、XilinxiSe、Quartusii、九天Zeni设计系统等eDa软件。我校相继开设了与集成电路设计密切相关的本科课程,如集成电路设计基础、模拟集成电路设计、集成电路版图设计与验证、超大规模集成电路设计、aSiC设计方法、硬件描述语言等。同时对课程体系进行了修订,注意相关课程之间相互衔接,关键内容不遗漏,突出集成电路设计能力的培养,通过对课程内容的精选、重组和充实,结合实验教学环节的开展,构成了系统的集成电路设计教学过程。[5,6]

集成电路设计从实现方法上可以分为三种:全定制(fullcustom)、半定制(Semi-custom)和基于FpGa/CpLD可编程器件设计。全定制集成电路设计,特别是其后端的版图设计,涵盖了微电子学、电路理论、计算机图形学等诸多学科的基础理论,这是微电子学专业的办学重要特色和人才培养重点方向,目的是给本科专业学生打下坚实的设计理论基础。

在集成电路版图设计的教学中,采用的是中电华大电子设计公司设计开发的九天eDa软件系统(ZenieDaSystem),这是中国唯一的具有自主知识产权的eDa工具软件。该软件与国际上流行的eDa系统兼容,支持百万门级的集成电路设计规模,可进行国际通用的标准数据格式转换,它的某些功能如版图编辑、验证等已经与国际产品相当甚至更优,已经在商业化的集成电路设计公司以及东南大学等国内二十多所高校中得到了应用,特别是在模拟和高速集成电路的设计中发挥了强大的功能,并成功开发出了许多实用的集成电路芯片。

九天eDa软件系统包括ZeniDm(Designmanagement)设计管理器,ZeniSe(Schematiceditor)原理图编辑器,ZenipDt(physicaldesigntool)版图编辑工具,ZeniVeRi(physicalDesignVerificationtools)版图验证工具,ZeniHDRC(HierarchicalDesignRulesCheck)层次版图设计规则检查工具,Zenipe(parasiticparameterextraction)寄生参数提取工具,ZeniSi(Signalintegrity)信号完整性分析工具等几个主要模块,实现了从集成电路电路原理图到版图的整个设计流程。

二、集成电路版图设计的教学目标

根据培养目标结合九天eDa软件的功能特点,在本科生三年级下半学期开设了为期一周的以九天eDa软件为工具的集成电路版图设计课程。

简述集成电路设计流程篇3

>>基于actelFpGa的双端口Ram设计L?DaCS1中多速率卷积编码器的设计与FpGa实现LZw标准化输出的FpGa设计基于FpGa的高级加密标准aeS设计与实现基于FpGa的多通道数据采集系统的设计多通道eopDH/eoS网桥芯片的FpGa设计基于FpGa的串口控制多通道脉冲设计基于Cameralink标准的DSp+FpGa数字图像处理系统设计基于FpGa的iRiG?B标准DCcode编码器VHDL设计基于FpGa的多串口51单片机的设计与实现基于FpGa的多通道高速信号采集器的设计基于FpGa的多通道高速数据传输系统的设计多片大规模FpGa的aSiC原型验证平台快速设计方法基于多FpGa的片上网络模拟平台设计和实现一种基于FpGa的多通道数据采集系统设计与实现基于FpGa的多通道双频数字接收机设计一种基于FpGa的多通道脉冲检测系统设计与实现彩色pDp中FpGa的时序控制设计FpGa设计中功耗的分析与仿真FpGa设计中的跨时钟域问题常见问题解答当前所在位置:中国>教育>FpGa中多标准io端口的设计FpGa中多标准io端口的设计杂志之家、写作服务和杂志订阅支持对公帐户付款!安全又可靠!document.write("作者:未知如您是作者,请告知我们")

申明:本网站内容仅用于学术交流,如有侵犯您的权益,请及时告知我们,本站将立即删除有关内容。【摘要】现场可编程门阵(FpGa,FieldprogrammableGatearray)是可编程逻辑器件的一种。FpGa已广泛地运用于通信领域、消费类电子和车用电子。i/o端口模块是FpGa中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FpGa内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FpGa允许使用者通过不同编程来配置实现各种逻辑功能,在i/o端口中它可以通过选择配置方式来兼容不同信号标准的i/o缓冲器电路。【关键词】现场可编程门阵列输入/输出i/o标准一.FpGa概述

FpGa是英文FieldprogrammableGatearray的缩写,即现场可编程门阵列,它是在paL、GaL、epLD等可编程器件的基础上进一步发展的产物。既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FpGa能完成任何数字器件功能,上至高性能CpU,下至简单aSiC电路,都可以用FpGa来实现。利用FpGa,工程师可以通过传统的原理图输入法,或是硬件描述语言自由设计一个数字系统。通过软件仿真,我们可以事先验证设计的正确性。在pCB完成以后,还可以利用FpGa在线修改能力,随时修改设计而不必改动硬件电路。使用FpGa来开发数字电路,可以大大缩短设计时间,减少pCB面积,提高系统的可靠性。FpGa的这些优点使得FpGa技术在90年代以后得到飞速的发展,同时也大大推动了eDa软件和硬件描述语言(HDL)的进步。

FpGa包括3个大模块和若干小模块。3个大模块指:(1)可配置逻辑模块CLB(ConfigurableLogicBlock);(2)输出输入模块loB(inputoutputBlock);(3)内部连线(interconnect)。这3部分占据了整块芯片90%以上的面积。此外,FpGa还包括一些周边电路,如配置电路模块、边界扫描/回读模块、DLL时钟控制模块、上电复位模块、电压补偿模块等,它们大多分布在芯片边界,共同完成FpGa功能。二.i/o模块描述

FpGa中的i/o接口的主要作用是提供封装引脚到CLB(ConfigurableLogicBlock)之间的接口,将外部信号引入FpGa内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准.图1基本actelFpGa结构

actelFpGa系列都有一个稍微不同的i/o模块。在aCt1系列里的简单i/o模块优化了低耗费,还有综合系列里面的门闩i/o模块提供了一个在速度与价格间的平衡。aCt3里的注册i/o模块在同步应用上为了高速度被优化。要了解关于每种i/o模块的更多知识,参考相关的设备产品说明书和应用知识。

用于aCt1系列的简单i/o模块(图2所示)是actel最初发展得i/o模块,也是与逻辑阵列相连的一个简单i/o缓冲器。全部输入,输出和三门控制信号都能应用于阵列。输出是相兼容的晶体管-晶体管逻辑(电路)和互补金属氧化物半导体,并且在电流晶体管-晶体管逻辑(电路)级别上电流时10ma。

图2简单i/o模块三.多标准io电路单元模块的实现

FpGa中的i/o接口的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FpGa内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。例如Virtex.e型号FpGa中高灵活性Selecti/o技术一共支持了20种高性能接口标准。如表3。表3Virtex.e型号FpGa支持的io标准

因为i/o电路主要作用是为数字逻辑电路(CLB)提供输出驱动能力与输入驱动能力的一个接口电路,如果没有该电路,那么数字电路的输出将只有一个电压标准(芯片工作电压),而且也不能满足外部驱动能力的要求。因此所谓不同的接口标准,实际上体现在i/o上就是不同接口标准对输出电压与输出电流大小的要不同,i/o接口电路显然是不能简单的用一个由Buffer缓冲器来代替。根据图3.1可以得知Virtcx.e的Fo需要支持20种不同的接口标准,而Virtex.e仅仅是Virtcx系列比较早期的型号,越为先进的型号,它们支持的i/o标准数量越多。为了适应越来越繁多、层出不穷的i/o标准,FpGa的多标准io接口模块设计必须按照一个核心思想:不去考虑各种不同接口协议的具体编码规则,而是着重于不同接口协议的电气特性参数有哪些不同。不同i/o标准的电压、电流要求不同。四.i/o接口电路测试方案

由于设计的i/o接口电路每次只能支持一种接口标准的输入输出功能,因此如果采用传统单次功能配置的方法将会导致配置次数数量极为庞大。所以,i/o在进行功能测试时,可以采用交叉互补配置原理的测试方法,即对芯片不同位置的所有i/o同时进行配置,以尽量减少配置次数。

简述集成电路设计流程篇4

关键词:硬件描述语言verilogHDLVHDL

1.引言

数字电子技术是电气信息类专业一门重要的技术基础课程,既具有一定的理论性,同时作为一门技术课程又有相当强的实践性。因此,我们必须为理论的讲述配置一定的实验项目。目前实验项目的组织有两种途径:一是采用原来传统的小规模(SSi)或中规模集成电路(mSi)为单元构建实验项目;二是以大规模(LSi)可编程CpLD/FpGa芯片为平台,利用专门的硬件描述语言来实现。

2.现状与需求

目前,在许多本科院校的数字电子技术课程实验教学和数字电路的设计中,仍采用传统的小规模(SSi)或中规模集成电路(mSi)为单元来构建和设计。这种思路已经不能适应教学和行业发展趋势的需要。它主要有如下几个方面的原因:一是实验室必须为每一个实验项目独立地准备实验器材,而且要保证实验元件的正确性和可靠性,这是一件很费时费力的工作,同时一旦有学生操作失误,芯片就有可能烧坏,从而浪费资源;二是目前的大学生电子设计大赛所设计的数字系统设计和一些接口电路已经涉及和要求掌握在大规模和超大规模可编程芯片基础上设计复杂的数字电路;三是目前随着微电子技术和计算机技术的飞速发展,工程中已经广泛采用以CpLD/FpGa为基础设计数字集成电路,用软件的方法设计硬件电路已经是行业的需要。

为此,有必要在课堂教学中引入硬件描述语言用以设计数字集成电路,并设置相应的实验项目以掌握硬件描述语言和熟悉相关开发工具。

3.硬件描述语言在数字电路设计中的应用

3.1硬件描述语言简介[1]

一般的硬件描述语言可以在三个层面上描述电路,其层次由低到高依次为门电路级、RtL级和行为级。任何一种硬件描述语言都要转换成门电路级才能被布线器所接受。综合的方向是由高到底:行为级RtL级门电路级。

3.2硬件描述语言分类及主要差异

目前主流的描述语言有VerilogHDL和VHDL两种,各有特点和优势。VerilogHDL更适合RtL和门电路的描述,是一种较为低级的语言。其综合过程只要经过RtL级门电路级,故较为容易控制电路资源,常用在专业的集成电路设计上。而VHDL语言则更适合行为级和RtL级的描述,因此其综合过程通常要经过行为级RtL级门电路级的转换。[2]

同时,VerilogHDL语言具有C语言的描述风格,是一种较为容易掌握的语言。VHDL语言入门较难,但熟悉后设计效率比VerilogHDL要高。

3.3硬件描述语言在数字电路设计中的应用举例

译码器是数字电路中应用最为广泛的中规模集成电路,常用于设计接口电路和扩展i/o口。下面是用VHDL语言来描述一个3―8译码器的例子。[3]

LiBRaRYieee;

USeieee.StD_LoGiC_1164.aLL;―ieee库说明

entitYdecoderiS

poRt(a:inStD_LoGiC_VeCtoR(2Downto0);―实体说明,输入三位地址,高电平有效

S:inStD_LoGiC;―使能信号,高电平有效

Y:oUtStD_LoGiC_VeCtoR(7Downto0));―输出八个译码信号,高电平有效

enDdecoder;

aRCHiteCtURearchoFdecoderiS―结构体描述

SiGnaLSeL:StD_LoGiC_VeCtoR(3Downto0);―敏感列表

BeGin

SeL(0)<=S;

SeL(1)<=a(0);

SeL(2)<=a(1);

SeL(3)<=a(2);

witHSeLSeLeCt

Y<="00000001"wHen"0001",―功能描述

"00000010"wHen"0011",

"00000100"wHen"0101",

"00001000"wHen"0111",

"00010000"wHen"1001",

"00100000"wHen"1011",

"01000000"wHen"1101",

"10000000"wHen"1111",

"11111111"wHenoRtHeRS,

enDarch;

译码器种类繁多,输入输出电平有效值要求高低不同,在此我们只需稍改功能描述中的取值即可,非常方便。因此修改教学内容是非常方便的。不难看出内部结构比较复杂的译码器用VHDL语言描述就显得非常简洁易懂。其实一般较为复杂的器件比较适合用VHDL来描述,在RtL级和行为级上进行描述。

D触发器是时序电路的基础,是数字系统的基本单元。下面是利用VerilogHDL描述一个异步复位的D触发器。

moduleDFF(q,qb,d,clk,clr);模块名和端口列表

outputq,qb;//端口输入输出说明,输出端q和反相qb

inputd,clk,clr;//数据输入端d,时钟端clk和复位端clr

regq;端口类型说明

wireqb,d,clk,clr;

assignqb=!q;//互非输出

always@(posedgeclkornegedgeclr)//异步复位时的敏感表

if(!clr)

q<=0;//低电平复位信号有效是清零

else

q<=d;

endmodule

将敏感列表稍加改动即可变为同步复位的D触发器。像触发器这样的时序器件用VerilogHDL描述是比较方便的。VerilogHDL语言对一些电气特性、时延特性的描述有非常强大的描述能力。

4.结论

以可编程器件为基础,利用硬件描述语言进行数字集成电路设计已经是业界不可避免的发展趋势。这不仅优化了教学资源和设计环境,而且提高了设计效率,对切实提高学生动手能力和适应市场以及技术发展的要求起着重要作用。

参考文献:

[1]潘松,王国栋.VHDL实用教程[m].成都:电子科技大学出版社,2000.

[2]夏宇闻.复杂数字电路与系统的VerilogHDL设计技术[m].北京航空航天大学出版社,2002.

简述集成电路设计流程篇5

关键词:多路选择开关;程控放大器;a/D转换器;信号采集

中图分类号:tn919.2?34文献标识码:a文章编号:1004?373X(2015)13?0129?03

abstract:Signalacquisitionisanimportantlinkintestsystem.inindustrialmeasurementandcontrolsystemorlaboratory,testsystemrequiresmulti?channelsignalacquisitiondevicetorealizeanalogsignalacquisition.Sincetheacquisitiondevicehastherequirementsofuniversality,miniaturizationandhigh?precision,thedataacquisitionmodulewithhighprecisionandmulti?channelwasdesigned.thesystemadoptshighprecisiona/Dconverterchip,andacquires16?channelanaloginputsof16?bit.itsuitsforhighprecisionindustrialon?sitedataacquisition.

Keywords:multi?channelselectingswitch;program?controlledamplifier;a/Dconverter;signalacquisition

0引言

在工业过程控制以及其他一些系统的信号检测中,需要采集大量传感器的测量值,大部分的温度、压力、位移、流量等传感器都是将物理信号转化为对应的电压信号,再进一步送入嵌入式计算机内部进行传感器的数据获取。嵌入式计算机为了完成传感器数据的获取,必须设计具有能够获取传感器信号的高精度多路数据采集功能模块。

1数据采集系统概述

数据采集系统的结构如图1所示,由图可知,数据采集系统是由传感器、模拟多路开关、程控放大器、a/D转换器和逻辑控制部分等组成[1]。

1.1传感器

传感器的类型有很多,如测量温度有热电偶传感器、热敏电阻传感器等;测量机械力的有压力传感器、应变片传感器等;测量机械位移的有电感位移传感器、光栅位移传感器等。这些传感器将现实世界的温度、压力、位移、流量等非电量,转换成为电信号,然后进入计算机内进行处理、运算和记录。

1.2模拟多路开关

在采集频率不高的应用背景下,一般采用公共的a/D转换器,分时对各路模拟量进行模/数转换,从而可以简化电路设计、降低成本。一般使用模拟多路开关来轮流切换各路模拟量与a/D转换期间的通道,使得在一个特定的时间内,只有一路模拟信号输入到a/D转换器。

1.3程控放大器

在数据采集系统中,来自传感器的模拟信号有的是比较微弱的低电压信号,而a/D转换器的满量程输入电压多数是2.5V,5V或10V,而且a/D转换器的分辨率是以满量程电压为依据确定的。使用可编程放大器的作用是将微弱的输入信号比例放大,从而充分利用a/D转换器的满量程分辨率。

1.4a/D转换器

a/D转换器主要实现将模拟信号转换为数字信号,它是采集系统的核心。a/D转换器是影响整个数据采集系统采样速率和精度的主要因素之一。

1.5逻辑控制

数据采集系统各器件的定时关系比较严格,逻辑控制器件控制数据采集系统各器件工作,其控制过程顺序[2]为:

(1)模拟多路开关开始切换;

(2)等待通道建立时间,即通道电压建立完成;

(3)a/D转换器开始转换;

(4)等待a/D转换器时间,即a/D转换器转换到位;

(5)读取a/D转换值。

2电路设计与实现

多通道数据采集电路如图2所示[3],该电路能以最高16位分辨率处理16通道单端输入电压信号。每通道采样速率使用aD976[4]最高可达100KSpS,使用aD976a最高可达200KSpS;所有输入通道的通道切换速率最高可达16.447kHz。

信号处理电路通过可编程逻辑器件实现通道间自动切换,实现顺序采集多个通道,也可加载固定的二进制通道地址,用于单通道采集。

2.1建立时间分析

采集电路工作在连续切换模式下,1个模拟多路开关的16个通道单端信号合并为时分多路复用信号,然后信号进入高阻抗、低容抗的aD620[5]缓冲。

输入前置滤波电路、模拟多路开关和放大器输入等效电路如图3所示。aDG506[6]输入寄生电容5pF,输出引脚寄生电容44pF,内部等效电阻500Ω;aD620引脚穿接电阻4.7kΩ,RF滤波电容为1nF,可得到时间常数近似为[τ=RC=](0.5+4.7)kΩ×1nF=5.2μs,针对简单RC网络建立时间与给定精度之间的关系,当需要分辨率不低于16位,RC网络建立时间常数为[11.09τ,]在此取整为[12τ=]60.8μs,所以开关速率最高可达为[f=]16.447kHz。

放大器输入等效电路

2.2误差分析

对多通道数据采集电路进行误差分析,简化模型后如图4所示。

(1)模拟多路开关误差

多路开关作为后级运放输入,连接到aD620输入正端,按电阻分压比例得下式:

[V2=R22×R1+R2×V1=99.9999%×V1](1)

式中:[V1]为图4中[V1]处电压,单位为V;[V2]为图4中[V2]处电压,单位为V;[R1]为图4中[R1]处电阻值,阻值为500Ω;[R2]为图4中[R2]处电阻值,阻值为1×1010Ω。

(2)运算放大器误差

aD620的放大误差典型值为0.03%,最大值为0.1%,按最大误差计算得下式:

[V3=V2×99.9%](2)

式中:[V3]为图4中V3处电压,单位为V;[V2]为图中V2处电压,单位为V。

(3)a/D转换器误差

a/D转换器采用16位的aD976,其输入电压范围为0~±10V,线性误差为±2LSB,参考电压为DC2.50V,转换时间最大4μs,满量程误差为±0.25%FS(0.05V),失调误差最大为±10mV。

aD976输入电压量程范围最大为±10V,[1LSB=20V216=0.305]mV。

aD976的误差由增益误差、失调误差和非线性误差引起,其中aD976的失调误差可通过外部50kΩ外部电位器进行调整至[±12LSB,]增益误差可通过50kΩ外部电位器进行调整至±2LSB,综合考虑a/D线性的误差[±2LSB,]此时的a/D转换时丢失的编码值最大为4.5LSB,相对于满量程其转换误差仅为±0.007%。

如果不对aD976的增益误差、失调误差进行调整,则相对于满量程其转换误差为±0.2%,aD976不用电位器调整也可满足a/D测量精度。

(4)总误差

综上所述,有:

[V采集=Vin×99.9999%×99.9%×99.8%=Vin×99.70%](3)

式中:[V采集]为a/D采集得到的电压值,单位为V;[Vin]为输入端的电压值,单位为V。

因此,在a/D采集芯片不用电位器进行调整时,电路采集直流信号的最大误差为0.3%,满足绝大多数工业现场数据采集的要求。

3逻辑控制流程

在此设计采集系统的控制为查询方式进行巡回采样,一次采样过程如下:首先发起对第一通道的切换,然后启动a/D转换器,待转换结束后获取到第一通道结果并存出寄存器;再发起对第二通道的切换,然后启动a/D转换器,待转换结束后获取到第二通道结果并存出寄存器……,直至所有通道采样完毕,即完成一次采样过程。不断循环上述采样过程。查询方式的流程图如图5所示。

设计使用查询方式的优点是:要求的硬件少,编程实现简单,特别是询问与执行程序同步,能准确知道a/D转换所需要的时间。同时,在每个采样周期内都对每路模拟信号进行多次采样,以保证能获取可靠的采样值,程序流程图中的巡回采集遍数就是为了对每个模拟信号进行多次采样。

4结语

本采集模块设计适用于小体积、低功耗、低成本的嵌入式计算机设备,通过选择不同的a/D转换器、模拟多路开关和程控放大器的,可满足不同环境采集系统对电压信号的采集需求。

参考文献

[1]张和生,王立文.一种高精度数据采集系统的电路设计[J].计算机测量与控制,2004(6):575?577.

[2]谢利旭,杨永友,董浩斌.自动切换转换通道的a/D转换器aD7938及其应用[J].现代电子技术,2005,28(18):118?120.

[3]康华光,陈大钦.电子技术基础(模拟部分)[m].5版.北京:高等教育出版社,2006.

[4]analogDevices.aD976/aD976adatasheet[R].[S.l.]:analogDevices,1999.

简述集成电路设计流程篇6

关键词:数据采集;浮点DSp;FpGa;USB

1引言

随着信息时代的到来,高速数据采集技术已经成为现代信息技术发展的一个必要环节。在工业生产和科学技术研究的各行业,往往需要对电压、电流、温度等信息进行采集,而在无线电、音频处理、图像处理等领域,更是要求数据采集系统具有高速、高精度、高实时性的特点。随着数字技术的发展,一些高性能的DSp(DigitalSignalprocessor)能够满足算法结构复杂、运算精度高、寻址方式灵活且通信性能强大的要求,而FpGa(FieldprogrammableGatearray)更适合于数字逻辑的处理。因此本文设计了一种基于tmS320VC33DSp和ep2C5Q208FpGa的高速数据采集系统,通过USB(UniversalSerialBus)接口与pC机进行通信,可以方便的将数据传到上位机。

2系统组成

高速数据采集系统结构框图如图1所示。该系统以浮点型DSp和FpGa为控制核心,其中DSp主要完成从FpGa中提取数据,并对数据进行FFt变换,对信号的频率分析提供支持。FpGa作为DSp的外设,主要完成对a/D芯片、USB芯片的控制、与DSp的并行通信及数字量信号的采集等。

为保证数据运算速度,本文选择的DSp为ti公司的tmS320VC33,具有150mFLopS的运算能力,FpGa采用Xilinx公司的ep2C5Q208,可以满足系统的i/o需求和门数要求。高速a/D芯片采用aDi公司的aD7938,该芯片可以同时采样8路模拟量信号,具有两个转换核,并行输出数据,实现了高速数据的采集与传输。为了更方便的与pC机通讯,设计选用了pHiLpS公司生产的iSp1581,完全符合USB2.0规范。

3模块电路设计

3.1模拟量调理电路

在数据采集系统中,对模拟量的采集过程中往往需要对信号进行放大、衰减及偏置,使输入信号满足a/D芯片的幅度要求,因此模拟量信号的调理是影响系统性能的主要因素之一。本文采用ti公司生产的Lm124运算放大器构建偏置及滤波电路,该芯片具有低失调电压和漂移、低输入电压及电流噪声、低偏置电流及高带宽等优点。偏置电路的偏置电压采用芯片Lm4040给出稳定的-2.5V,电路设计如图2所示。为了滤除电路及传感器带来的噪声,利用运算放大器建立了二阶有源低通滤波器,滤波器的带宽可以通过更换滤波器的电阻和电容的大小来定。

3.2a/D转换模块电路

利用aD7938作为采样电路的转换芯片,如图4所示,其中aD7938的控制信号CS、RD、wR、w/B、ConVSt及其输出信号BUSY都接FpGa,由FpGa的数字逻辑对其进行控制,其8位数据线也直接接到FpGa的i/o口。

3.3USB模块接口电路

USB目前已逐渐成为现代数据传输的发展趋势,为多点数据采集提供了很大的支持,其传输速率可达到480mb/s,传输方式有四种:控制传输、同步传输、中断传输及批量传输,而且通过Hub扩展最多可接127个外设,具有成本低、功耗低、易使用等优点。本文选用的iSp1581完全符合USB2.0规范。如图5所示为iSp1581模块原理图,该芯片的控制引脚、数据总线及地址总线都与FpGa的i/o相连。

3.4DSp与FpGa的接口电路

DSp与FpGa之间通过总线方式连接,接口原理框图如图6所示。DSp的数据总线宽度为32位,地址总线宽度为22位,将FpGa作为DSp的外设,地址的分配为:040,0000H~040,000FH,通过StRB、R/w信号及paGe1信号的组合逻辑对FpGa进行读/写操作。

4软件设计

软件设计包括FpGa的软件设计和DSp的软件设计,其中DSp的软件在CCSV3x4x环境下利用C语言进行开发,在此就不再赘述。FpGa的软件开发环境是iSe7.1软件平台,利用VHDL硬件描述语言进行开发。根据FpGa完成的功能,按照模块可以划分为与DSp接口模块、USB芯片控制模块及a/D控制模块。其中a/D控制模块按照aD7938的时序利用状态机实现其控制,其中状态描述线程的程序如下。

State_description:process(current_state)

begin

casecurrent_stateis

whenstate0=>

conv_n

write_n

latch

whenstate1=>

conv_n

read_n

aD_Data

whenst2=>

conv_n

read_n

aD_Data'Z');

whenst22=>

conv_n

read_n

aD_Data'Z');

whenstate2=>

conv_n

read_n

aD_Data'Z');

whenstate3=>

conv_n

read_n

aD_Data'Z');

whenst4=>

conv_n

read_n

aD_Data'Z');

whenst44=>

conv_n

read_n

aD_Data'Z');

whenstate4=>

conv_n

read_n

aD_Data'Z');

whenst444=>

conv_n

read_n

aD_Data'Z');

whenstate5=>

conv_n

read_n

aD_Data'Z');

whenothers=>

conv_n

read_n

endcase;

endprocess;

5结束语

本系统采用浮点型DSptmS320VC33作为主处理器,利用FpGa强大的数字逻辑功能,简化了硬件的设计,利用USB2.0与上位机进行通讯,具有数据采集速度快、功耗低、易于使用等优点。

参考文献

[1]周立功.USB固件编程与驱动开发[m].北京:北京航空航天大学出版社,2003.

[2]杨永东,曾庆立.基于FpGa+DSp的高速数据采集系统设计.吉首大学学报[J].2009,30(4)

[3]牛国朋,袁洪,范建军.一种基于FpGa和DSp的高性能pCi数据采集卡设计[J].微计算机信息,2006:137-139

简述集成电路设计流程篇7

目前国内数字逻辑课程的教学内容多是基于SSi、mSi等器件进行分析和设计,采用的是经典的数字逻辑设计方法,即用真值表、卡诺图、状态转换图、状态转换表、状态方程、时序图、逻辑图和逻辑函数表达式等方法,来分析和设计数字逻辑系统。显然,对于较复杂的数字系统,因其输入变量数、输出函数变量数和状态数的急剧增加,再使用上述这种传统方法进行分析和设计难以适用,甚至根本无法进行。数字逻辑课程的实验环节也是基于标准SSi,mSi芯片的所谓硬实验台实验室(Hardware-basedLaboratory),这种实现台不易做成开放性,实验准备,实验内容和实验课安排都不灵活,实验内容和效果也受到所供SSi,mSi芯片的限制。如今,数字化的概念已深入到各个领域,几乎绝大多数系统已不是简单几个逻辑变量就能完全描述的。然而目前在专门讲授数字系统设计基础理论和方法的数字逻辑课程中,绝大多数高校仍然是沿用了几十年不变的老方法,显然已远远落后于时代的要求,必须加以改革创新。

2引进VHDL语言的方法

VHDL的方法克服了传统方法的弱点,与传统方法相比有几处根本优点,设计者可以在VHDL描述模型建立之后马上用仿真手段来验证系统功能是否满足要求。这种方法还可以免去传统方法的许多繁杂的试凑等耗时劳动(设计瓶颈),因而减少设计时间,降低设计难度,避免了由于人工试凑设计常容易发生的错误。利用各种eDa工具,可自动的将一个可综合的设计在给定的具体器件上进行门级实现。而且,用这种方法系统整体指标往往容易实现,而传统的方法则不然。这种方法其主要设计文件是用VHDL语言编写的源程序,而不是电路原理图,显然传统硬件电路设计最后形成的主要文件是电路原理图,它与设计的器件和技术有关,不易设计文档的复用。用VHDL语言设计系统硬件电路,主要设计文件是用VHDL语言编写的源程序,以此作为归纳文件有很多好处:首先,资料量小,便于保存;其次是可继承性好,即设计其它硬件电路时可以调用文件中的某些库、进程和过程等描述某些局部硬件电路的程序;第三是阅读方便,很容易在程序中看出某一硬件电路的工作原理和逻辑关系,而阅读电路原理图,推知其工作原理都需要较多的硬件知识和经验,而且看起来也不够一目了然。VHDL还有一个重要的特点就是设计描述与器件无关(withoutreferenectospecifichardware),显然这是基于SSi、mSi等器件进行分析和设计无法做到的,设计者能专注其设计,而且在eDa综合工具的配合下支持自顶向下的设计。

采用VHDL的方法,在实验环境上也把基于硬件的实验室改变成基于软件的实验室(Software-basedLaboratory)即软实验台,直接在VHDL仿真器中验证实验结果的正确性,根本克服了硬实验台的不足,易于实现实验的开放性和网络教学模式。

VHDL的方法是顺应迅速发展的通讯技术、电子测量技术、自动化控制等技术的需要而发展起来的,它使硬件软件化变为现实,使大规模和超大规模集成电路的设计向标准化、自动化的方向迈进了一大步。无疑,传统的设计方法已经远远落后,国内电子行业已逐渐将VHDL硬件描述语言应用于电子系统设计中。为了避免我们的教学内容与电子技术发展脱节,增强人才的竞争能力,适应市场需要,将VHDL硬件描述语言引入数字逻辑的教学和实验中,逐步对旧的教学模式和教学内容加以改革,势在必行,也是时代的要求和科技发展的必然结果。

3举例说明采用VHDL方法的风格和特点

VHDL语言是目前广泛流行的硬件描述语言之一,它起源于美国国防部超高速集成电路计划,计划公布后受到业界的普遍欢迎。1987年12月被ieee正式批准为标准的硬件描述语言,并于1993年公布了修改后的ieee最新标准。VHDL支持结构化的开发设计,因此一个大型的数字系统可以分成较小的子系统,许多人可在不同的子系统中同时进行开发工作。VHDL是通过元件例化语句来实现这一功能的。和其它高级语言一样,VHDL是一种强类型语言,这使设计中的许多错误易于发现。VHDL允许设计者在不同的抽象层次里对系统进行行为描述及结构描述。VHDL有三种主要的建模描述风格:

算法描述(algorithmic):即用顺序语句来描述输入输出对应关系的算法,这种描述方式最初往往与实现硬件无关。

数据流描述(Dataflow):即用一组并行语句来描述数据在寄存器之间流动的建模方式。这种方式与实际硬件实际存在某种对应关系。

结构描述风格(Structural):这是一种与硬件结构最近的描述方式,它通过文件的例化语句来实现。

下面用一个实例来说明采用VHDL语言设计一个四位可控的Johnson计数器,从中可以领略一下采用VHDL方法的风格和特点,此例所反映的设计过程也是笔者所指的软实验台所包含的具体内容。设计模块端口信号有:Lept,左移控制;RiGHt,右移控制;Stop,停止计数控制;CLK,时钟输入端,Q3-Q0,计数器输出端。设计采用VHDL输入方式。该设计的VHDL代码如下:

libraryieee;

useieee.std_logic_1164.all;

entityjohnsonis

port(LeFt:inStD_LoGiC;

RiGHt:inStD_LoGiC;

Stop:inStD_LoGiC;

CLK:inStD_LoGiC;

Q:bufferStD_LoGiC_VeCtoR(3downto0));

endjohnson;

architecturejohnson_archofJohnsonis

signalDiR:StD_LoGiC;

signalRUn:StD_LoGiC;

begin

process(CLK)

begin

if(CLK'eventandCLK='1')then

if(RiGHt='0')then

DiR

elsif(LeFt='0')then

DiR

endif;

if(Stop='0')then

RUn

elsif(LeFt='0'orRiGHt='0')then

RUn

endif;

if(RUn='1')then

if(DiR='1')then

Q(3downto1)

Q(0)

else

Q(2downto0)

Q(3)

endif;

endif;

endif;

endprocess;

endjohnson_arch;

从上面的VHDL的设计代码可见:VHDL的设计文档由三大部分组成,即库的声明,端口说明和结构体设计描述。其中端口说明清晰的反映了所设计器件的输入输出性质,在结构体设计描述中也清楚的描述了器件所完成的逻辑功能。这是传统的采用SSi,mSi等标准规格器件设计所没有的优点。

图1设计实例功能仿真图

用VHDL仿真器验证设计的正确性。参见图1:设计实例功能仿真图。

简述集成电路设计流程篇8

在射频电路设计中,pCB的设计决定了电路是否能正常运行,设计过程中要注意信号完整性的一些其他的约束规则。由于模块对体积有严格的要求,所以必须采用高密度的pCB设计。可将表面贴装技术和板载芯片技术运用到pCB设计中。这种设计方法会造成元器件之间的干扰更加强烈,引发信号完整性问题,更甚于导致电路工作无法正常进行。所以设计pCB时,必须尽早的考虑好电磁兼容性问题,合理设计方案。

1.1pCB板材选择

在pCB设计过程中,一般会采用成本低、性能好的FR4,通常情况下,FR4在UHF频段的损耗角为0.02。基于这样的情况,如若对电路的精度和耗损有较高的要求,那么就必须将这种误差和损耗考虑进来。板材中重要性能之一的介电常数对电路的阻抗和信号传输率都会产生影响,是联系整个设计过程的重要参数。

1.2布线层数

多次电路板对pCB电磁兼容性问题有一定的解决作用,采用多层电路板设计法能够使布线更为简便,还能降低电源、低频,地平面间噪声电压,进而有效降低磁干扰的目的。解放布线空间,有利于设计人员合理的划分区间,解决信号完整性问题。由此可见布线层数对pCB设计有重要的作用,同时采用pCB层数还必须坚持两个设计原则:

(1)电源平面和地面层位置。相邻的电源平面层和地面层能够通过铜箔之间的杂散电容取得更好的高频电源解耦效果,并且还会随着介质介电常数的提高而不断增强。

(2)信号层应该和电源平面层或者地面层靠近,以此减少信号环路的面积。高速时钟信号线应该和地面层相邻。根据两个设计原则和对上述布线密度的估计,可以明确pCB的层数和分布设计。在确定信号分布线层和电源平面层时,还要考虑到:功能要求、噪声抖动、信号分类隔离、需要设计的布线数量、阻抗控制、大规模集成电路元件密度以及总线路由等因素。按照上述分析,根据模块体积的要求,可以明确得出采用四层板布线方式。顶层与底层作为信号布线场所,基于CC2530的无线通信模块布线层。

1.3pCB接地设计

接地设计是pCB设计过程中的关键环节,必须尽可能早的制定好接地方案,这样做有利于后期的设计工作顺利进行,在设计射频电路的pCB过程中,接地方案的好坏直接影响到了整个设计的效果。地线亦可以称之为返回路径,容易造成电磁干扰,主要是因为电流流经返回路径时因阻抗作用产生了电压,多个电路一起返回的路径时产生成公共阻抗耦合。在高速电路中,要高度重视传输线的寄生电感,如果返回路径设计不合理会造成电路引入反馈进而导致其工作无法正常进行。射频电路pCB的设计优劣在于能否科学合理的布局布线,以此降低辐射能力同时提高抗干扰性能。

1.4pCB的实现

通过上述分析简述,在全面考虑到电磁兼容性情况下,明确了需要设计的无线通信模块pCB的层数、分布和传输线阻抗匹配状况以及天线设计和pCB底线设计等最终完成了无线通信模块的pCB设计。pCB设计完成后的实际生产过程中,因为无法按照预想设定介电常数和介质高度等参数,造成了与现实的偏差,所有参数的变化尤其重要,必须时刻注意,及时对参数进行调整。

2结束语

简述集成电路设计流程篇9

关键词:单机片;升压电路;设计与仿真

前言

三项逆变电源在设计阶段需要注意升压电路的设计,一般而言应该在直流电源与逆变电路之间放置相应的升压电路,而最常用的是DC/DC模式,其功能的发挥在于将蓄电池组合体升压到DC540V。但是实际应用过程中会出现电压波动情况,因此应该保证整个输入电压应该保持在108V左右,输出电压则应该保持在540V左右。Boost升压电路的整个结构相对比较简单,整个结构系统中一般只有一个开关管,这种设计方案可以解决传统设计电路对电源功效的损耗,该种结构体系的体积相对较小。

一、设计模式

整个电路的设计电压应该经过严格调试后直接传送到StC12C54010aD单片机,这是因为该种单片机具有八个通道,并且可以持续性的保证输出pwm驱动信号,可以满足一般电路动能的需求,因此该种电路的设计并不需要增加a/D转换电路,也不需要额外增加pwm信号路径,只需要调试pi计算方法就可以严密的控制电路信号传输模式与信号内容,从而让信号传输形成一个完整的循环模式,保证电压的稳定输入与输出。在此过程中需要借助单片机i/o接口,并在此基础之上建立a/D转换口,在DC2DC升压系统的维护下保证整个设计电路系统的完整性,从而最大程度的改善系统功能是设计的关键所在[1]。

二、硬件设计方案

在单机片电路中,如果开关管的通态时间为ton,则电路连通阶段的电能感应量值为L上的积蓄能,可以表示为eiiton。如果断电持续时间为toff,那么在断电阶段的电感L释放能量的积蓄能可以表示为(U0-e)iitoff。如果整个电路的运行相对稳定,那么一个周期t内的电感L释放的积蓄能量与最终积蓄能量是相等的,那么可以最终表述为:

其中表示电路的输出电压高于电源实际电压,因此此种电路被称之为升压电路,英文称之为Boost变换器。它也直接表示升压的比值,可以通过相应的方法进行调节,以此来改变整体电压的输出量。如果将升压比的倒数记为β,那么β就表示输出的电压量小于电源的电压。升压电路的输入电压高于电源电压主要是因为L电路储备电压能具有调节电压的作用,此外,电容也可以保持整体电路电压保持稳定。如果将电路中的电能损耗忽略不计,那么电源的供电能量仅仅是由电压负载消耗的,而升压电路则可以被直接当作直流变压器。

整个电路的系统的组成要见还包括二极管,它的最大直流电量可以表述为。一般电路的电压都有承载度,因此,如果考虑电路的电压、元器件的成本等,那么应该选择Rm200Ha224F规格的。

电路的电容设计应该以电路的电感电流连续模式为基础,考量电容器内部二极管的电流承载力id,应该维持整个电流流向为平直电流,因此,在指定的电压限制中,应该设置电容的电压为:。其中为波纹电压,规定取值为10V,因此C=31.69(μF)。在电路通电以及充电的阶段内,一般电容的规定容量必须可以进行负载供电,因此所要求的电容也相对较小,而实际选择的电容一般取值为50μF,耐压值应该保持在900V。

对隔离驱动电路进行设计的方法一般是直接驱动法、隔离驱动法和集成模块驱动电路、该逆变电源采用FXB系列集成模块eXB841来驱动iGBt模块。集成模块驱动电路与分立元件的驱动电路相比,有体积小、效率高、可靠性高的优点。eXB841适用于开关频率为40kHz以下的开关操作,可以用来驱动400a,600V或300a,1200V的iGBt。它采用单电源工作,供电简单,内置高速光耦实现输入、输出的隔离,同时,芯片内部设有过电流保护电路,且过电流保护后在封锁自身输出的同时,由专门的故障信号输出端发出故障信号[2]。

电路整体设计完成后应该对电路进行保护系统设计,直流电源中的功率器件iGBt是系统的主要部件,也是最昂贵的部件。由于它工作在高频、高压、大电流的状态,所以也是最容易损坏的部件[3]。因此iGBt的保护工作显得十分重要。该系统中具有较为完备的保护电路及保护程序,保护电路主要有以下几个部分:输出过压保护电路;输入过压、欠压保护电路;iGBt短路保护电路;温度保护电路。

结论:综上所述,在现代物理学发展的推动下,对升压电路的相关研究也随之提高,尤其是在单片机应用基础之上对其相关技术与仿真的研究推动我国电力系统的发展,更成为实现我国工业现代化的强有力保障。

参考文献:

[1]薛俭雷,田春华,万永刚.太阳能电池升压电路的设计与仿真[J].微型机与应用,2012,13:22-24.

简述集成电路设计流程篇10

摘要:本文阐述了信息化时代的数字化低压配电柜,文中对数字化低压配电柜进行了一次、二次侧的设计,包括设备选择和信息数据采集装置的设计,配电柜利用光纤交换机实现对实时数据的传送和执行,满足了智能电网的技术要求。

关键词:数字化;低压配电柜;智能电网

引言

现今社会已经进入了信息化时代,电力系统中的诸多方面已经融入了智能化的元素,这些设备通过先进的传感和测量技术、先进的设备技术和先进的控制方法实现了更加可靠、安全、高效的运行效果。配电柜的设计过程中融入“数字化”的元素也是非常必要的。

1低压配电柜的一次侧设计

熔断器、接触器和断路器是低压成套装置的主要设备,除了以上三种主要设备外,还有一些传感器作为辅助设备。

1.1低压配电柜的设备选择

目前,低压成套装置多采用分割或抽屉形式的回路单元,断路器多采用框架形式或塑壳形式。配电柜的主要设备依据配电容量或配电柜的用途进行组合,可以实现多种不同功能的低压成套设备。

1.2低压配电柜一次侧的智能化设计

低压成套设备的数字化设计是要对成套设备的主要电器元件的参数实现数字化的信息采集,这些主要设备包括接触器、熔断器和断路器。其中断路器的主要参数包括电压、电流、电功率、断路器状态等。

为了达到智能化的目的,需要在断路器内加装互感器,用以采集断路器的电流,再通过程序编程实现对断路器其他参数的计算,并需要为断路器加装辅助触点用来实现对断路器位置和状态信息的采集。最终将主要设备的参数通过光钎送入交换机进行通信。

低压配电柜中的熔断器需要采集它的状态和流经熔断器的电流,数字化设备通过光纤将数据传送至交换机,智能电子设备通过GooSe协议进行通信。

2低压配电柜二次侧的数字化

低压成套设备二次设备包括互感器、指针表和继电保护设备,在以往的上述设备都存在大体积、低精度测量和复杂的安装与配线、检修繁琐等缺点。

现今智能电网的发展迅速,为了配合智能电网的发展速度,数字化的低压成套二次设备均实现了集成化和数字化,实现互感器的a/D转换,提高了信息的精确度和检测速度。数字化的低压成套设备通过光纤将采集到的信息通过交换机送给智能测控电子设备,实现了由信息采集到最后测控的完整流程。

低压配电柜的二次侧数字化的实现虽然增加了信息采集的数量,但可以使配线的复杂性大大降低。设备只需要通过简单的跳线即可实现对多路数据的信息采集与控制。

3ieC光纤交换机

ieC光纤交换机具有符合配电系统的技术要求,它的特点是:

(1)适应电网的电磁环境;

(2)设备具有模块化的结构可以任意组合;

(3)具有简洁的连接方式和多种不同的通信类型;

(4)具有通信和保护的可靠性、安全性,可避免数据篡改或伪造。

ieC光纤交换机最大的特点是可以实现当链接失效时发出报警,并可以实时检测光纤连接状态并重新选择路由,且可以优化数据配置,可以实现优先GooSe对等通信。

4GSm报警设备

数字化低压成套设备具有实时报警功能,它能够对设备参数、状态进行检测,对故障情况可以实时报警,其报警信号可通过GSm、GpRS设备实时传送出去。数字化的低压成套设备可以实时观测各配电柜的参数,将系统采集到的各数据存储到系统数据库中,并具有数据筛选功能,最终将需要的数据通过at指令发送出去。

5低压配电柜数字化的集成

断路器的智能化、熔断器的智能化、接触器的智能化等数字开关设备组成了数字化的低压成套设备。设备中通过数字化的互感器等装置实现了数字信号的采集,将成套设备中的参数通过光纤传输到交换机现数据通信。在低压成套设备中还装有光纤交换机,可以实现配电柜与配电柜之间的。智能化的报警系统也融入到了数字化低压配电柜中,可以实时掌握配电柜的现状。

6结语

数字化配电柜不仅技术先进功能齐全,为电网提供可靠、安全、高效的运行环境,且具有良好的经济性和前瞻性。加大数字化低压成套设备的使用量可以配合智能电网的建设步伐。

参考文献:

[1]叶丽萍.低压配电柜的开发与研究探讨[m].2009,7.

[2]刘骥,黄国方,徐石明.智能电网状态监测的发展[J].电力建设,2009.