数字电路的设计方法十篇

发布时间:2024-04-30 00:18:56

数字电路的设计方法篇1

abstract:theintroductionofthenewcourseisanartofteaching,thesuccessfulintroductionofnewcoursecanquicklyattracttheattentionofstudents,anditisasuccessfulhalfoftheclass.accordingtothecharacteristicsofthecourseof"digitalcircuitandlogicdesign",whichisrichincontent,theoreticalabstraction,largespanandstrongpracticality,thispaperputsforwardseveralspecificnewcourseintroductionmethodsandappliesthemtotheteachingprocess.practicehadprovedthatdullknowledgebecamelivelyandcheerfulwiththesemethods,andstudentstookpartinalldiscussinclassroomactivelytoimprovetheteachingandthensuccessfullyfulfillit.

p键词:新课导入;数字电路与逻辑设计;教学

Keywords:theintroductionofthenewcourse;digitalcircuitandlogicdesign;theteaching

中图分类号:G642.3文献标识码:a文章编号:1006-4311(2017)11-0181-02

0引言

《数字电路与逻辑设计》课程是测控技术与仪器、电子信息工程、电气工程及自动化、计算机等专业的一门专业基础课程。该课程详细介绍了数字逻辑的基础内容、逻辑门电路、组合逻辑电路、锁存器和触发器、时序逻辑电路、脉冲波形的变换与产生、数模与模数转换、存储器和可编程逻辑器件[1]。该课程结合集成芯片,进行系统而广泛的描述,旨在培养学生了解和掌握典型数字集成电路的基本知识、使用方法和设计要点的基本技能。

该课程是许多专业的学生接触的第一门与实际电子、电器紧密相关的一门课程,更是学生学习今后专业课的基础。如何引导学生尽快入门,并且学好该课程,是教师需要认真考虑的一个重要问题。本文重点从新课导入方法来阐明如何学好该课程,因为良好的开端是成功的一半。新课导入引人入胜,可以产生凝聚效应,即凝聚学生的注意力、思想、情感,进而对该课程产生学习兴趣。本人根据教学经验的积累,将多种实用的导入方法总结归纳,根据知识点的特点,采用不同的新课导入方式,以期达到最有效的教学效果。

1新课导入方法

1.1史料法导入

《数字电路与逻辑设计》课程比较枯燥,教师如果适时、合理地将与该课程有关的历史人物或事件引入该课程,必将为枯燥的课程带来几分生动,同时激发学生的求知欲。如讲授数字电路与数字信号基础知识的时候,首先介绍电子技术的发展历程,从1906年福雷斯特等发明电子管,到1948年肖克利等发明晶体管。从60年代初出现的只有4个逻辑门的小规模集成电路,到目前使用的超大规模集成电路。每当电子器件有一次变革,电子技术就有一次突破性进展。每当电子器件发生变革的时候都伴随着与历史人物有关的有趣的小故事。通过历史人物的故事,加深学生对电子器件的认识。这样,很容易激发学生的学习兴趣,促使他们认真地去学习各种电子器件,并且深深体会每种器件所代表的时代特征,为后续知识的学习奠定基础。

1.2温故导入

温故而知新是一种由已知向未知的导入方法,传统、简单、有效。通常以旧知识为铺垫,采用提问的方式复习已学知识,找出已学知识与新知识相联系的纽带,自然地过渡到对新知识的学习。这样既可以巩固所学知识,又可以帮助学生全面认识事物,提升学生的分析能力以及对知识的融汇贯通能力。比如讲授二进制数的算数运算时,先在黑板上给出一个十进制数,让学生转换成相应的二进制数、八进制数和十六进制数,这样不但复习了不同的数制,而且可以顺利引入二进制数的算数运算。因为加强了学生对十进制数到二进制数之间的转换之后,再来学进制数的运算就会事半功倍。

1.3实例导入

实例导入即通过举例子或者练习题来回忆旧知识,并且很自然地过渡到新知识。比如,在最小项和卡诺图讲解结束,将要讲逻辑函数的卡诺图化简时。首先,给出一个逻辑函数表达式,接着提问学生“该表达式是不是最小项表达式?如果不是则写出其最小项表达式的形式和最小项编号的形式”;然后,根据学生已经写好的最小项表达式填写卡诺图,这样就通过一个例子将最小项和卡诺图的相关知识回忆和应用了一遍;最后,针对题目所给的逻辑函数表达式提问学生“该表达式是不是最简的形式呢?若不是该如何化简?”这时学生很自然地会用代数化简法进行化简,化简完成之后告诉学生代数化简法的缺点并引出卡诺图化简法。即代数化简法要求熟练掌握逻辑代数的基本定律,而且需要一些技巧,特别是经代数法化简后得到的逻辑表达式是否是最简式较难掌握,这就给使用代数化简法带来一定的困难,使用卡诺图化简法可以比较简单而直观地得到最简逻辑表达式。那么,这个时候学生自然会被卡诺图化简法所吸引,顺理成章进入新课程。

再比如,当讲解到编码器时,在讲解之前先举一个大家很熟悉的例子,即每个学生都有一个学号,名字可以重名,但是学号是唯一的,这就是用十进制数将学生进行了编码。紧接着提出“在数字电路里面,什么是编码呢?”带着该问题引入到新课的学习中。

以实例为桥梁导入新课的方法有很多种方式,都是通过举例吸引学生注意力,并且强化学生对理论知识的运用,使师生之间更容易产生互动。

1.4对比导入

所谓对比导入就是根据新旧知识的关联点、异同点,采用正反对比的方式导入新课。《数字电路与逻辑设计》课程中功能相反、思路相反的例子很多。组合逻辑电路的分析与设计、时序逻辑电路的分析与设计、编码器与译码器等等。在讲授这些内容时,应用对比法导人可以使学生加深对所学知识的理解与掌握。

比如,组合逻辑电路的分析讲解结束,将要讲组合逻辑电路的设计时。首先,回顾组合逻辑电路的分析,即已知条件是逻辑电路,待求条件是逻辑功能;然后,紧跟着提问学生“如果反过来,即已知条件是逻辑功能,待求条件是逻辑电路,又该如何解决呢?”由此过渡到新课,即组合逻辑电路的设计。同样,同步时序逻辑电路的分析讲解结束之后,依然采用对比导入方式引出并讲解同步时序逻辑电路的设计。

又比如,在讲授译码器时,通过回顾编码器的工作过程对比引入译码器的工作过程。即先列出三位二进制编码器的编码表,然后说明译码器和编码器的工作过程相反,编码器是将某种信号或十进制数码(输入)编成二进制代码(输出),译码器则是将二进制码(输入)按其编码时的原意译成对应的信号或十进制数码(输出),从而很容易列出三位二进制译码器的状态表。这样,通过对比的方式回顾并学习了编码器的知识和译码器的状态表之后,再介绍译码器的其余知识就会很容易,学生也会很好地区别和理解编码器及译码器。同样,数据分配器和数据选择器、数~模转换器和模~数转换器、锁存器和触发器等很多内容的讲解都可以采用对比的方式。

1.5实物导入

《数字电路与逻辑设计》课程是一门应用性、实用性都很强的课程,如果教师能恰当地选择一些与讲课内容密切相关又符合学生认知能力的电子小产品来导入新课,也不失为一种引发学生兴趣,培养解决实际问题的好方法。在讲组合逻辑电路设计时,笔者以“设计好的一个切实可行的表决器”为例导入新课,告诉学生们学完今天的内容,你就会做表决器,甚至更复杂的电子产品。这样理论和实际一下子联系起来了,学生们也一下子来了精神。此时,教师适时提问“实际中的表决器有什么特点?它属于什么电路?怎样实现呢?”这样因势利导地切入正题引入这节课要讲的内容。教师要善于引用学生熟悉的现象、事例来导入新课,使学生有一种亲切感和实用感,从而激发学生兴趣,让学生真正感受到学习了此课程我就可以做什么。

再比如,在讲授典型的时序逻辑电路的时候,将已经设计好的计数器带入教室,让学生们先了解一下其功能,以及现实生活中经常用到计数器的地方,加强理论与实际的联系;然后通过提问学生“计数器的电路是如何来设计的?怎样实现呢?”这样不仅可以有效地吸引学生注意力,而且很自然地过渡到新知识的讲解。需要实物导入的地方很多,再比如单稳态触发器、施密特触发器、多谐振荡器等的讲解都可以采用实物导入的方式,通过实物加深学生对理论知识的理解与巩固,提升学生的感性认识,从而使枯燥的课堂变得活跃、充满学习热情。

2结束语

新课导入是课堂教学中一个必不可少的环节,是教师引导学生参与学习的过程和手段,也是教师必备的一项基本的教学技能,有效的课堂导入可以充分体现学生的主体地位和教师的主导作用。通过上述方法的实践证明:一些成功的、高效的新课导入可以开启学生的思维,提高教w质量,为学生后续专业课的学习奠定良好的基础。

参考文献:

[1]白彦霞,张秋菊.数字电子技术基础[m].北京:北京邮电大学出版社,2009.

数字电路的设计方法篇2

关键词:数字电路;模块化;优化设计;遗传算法

中图分类号:tn791文献标识码:a

0.引言

随着单片机、CpU、存储器、基带等多媒体硬件设备的快速发展和普及,有效促进了人们家居生活、科研教育、工作生产的智能化、信息化和共享化。数字电路是硬件设备数据通信、命令控制的重要基础,随着软硬件资源设备的功能增多,数字电路日趋复杂和高度集成化,传统的设计规则和经验知识已经无法满足系统发展需求,并且无法保证系统设计的准确性、可靠性和通用性,因此吸引了诸多学者的研究。为了能够提高数字电路设计的准确性和可靠性,数字电路设计提出了优化理念。数字电路优化设计包括两个基本构成要素,一是大规模现场可重构器件,其可以作为硬件电路的实现载体与评价方法;二是进化算法,其可以作为全局搜索和局部优化的主要手段。因此,为了能够提高数字电路设计模式,可以引入遗传算法将数字电路拓扑结构进行位串编码,将其作为染色体算法进行执行,将最优化染色体下载到可重构器件中,也可以使用软件模型评估算法,能够将电路实际优化设计结果与期望值进行有效比较,以便能够更好地指导数字电路优化设计。

1.基于模块化的数字电路优化设计

1.1模块化设计理念

数字电路功能复杂、规模较大,常见的设计方法已经无法正常优化,因此本文提出采用自顶向下的模块设计理念,能够将复杂的电路设计问题进行分解和细化,将复杂的数字电路设计分解为一系列简单的子电路进化设计模块,尽可能地降低电路设计的复杂程度,将一个复杂的问题简化为一个个简单的问题。数字电路设计可以建模一个真值表,描述数字电路的功能,因此本文优化设计过程中,可以将真值表作为一个完整的数字电路系统,因此可以将数字电路的输入变量和输出变量进行模块化设计,将输入组合的部分位数作为子功能的输入信号,其余位数作为子系y的选通信号。具体地,一位全加器的真值表可以有效地描述基本的全加器数字电路功能,使用模块化设计思想,可以将进化电路分为4个独立的子系统,每一个子系统的输入变量可以使用a1、a2表示,输出变量由选通信号a0进行判定和选择,以便得到正确的输出,比如电路输出为oUt0时,需要两个子系统S0和S1,当in0=0时,选择子系统S0作为oUt0的输出,当in0=1时,选择子系统S1作为oUt0的输出,如图1所示。

与传统的电路进化算法相比,模块化进化算法具有两个优点,一是能够解决功能电路选择的不确定性,二是降低子系统输入输出位数,能够缩小算法可以搜索的解空间,能够有效地降低算法搜索最优解的时间复杂度,提高算法成功率。

1.2数字电路优化设计

数字电路设计划分为多个模块,因此为了能够寻求一种最优化的电路设计目标,引入了遗传算法,遗传算法的设计流程如下所述。

(1)染色体编码

在遗传算法执行过程中,需要对电路模块化结构的染色体进行编码。该染色体分为逻辑独立的n段,每一段都可以表示一个子系统,子系统采用门级电路进化,每一个子系统都包含功能编码、连线编码和选通信号位。遗传算法的染色编码采用实数编码,将所有子系统合成一条染色体,各个子系统可以并行进化,为了避免电路资源开销较大,各个进化子系统相互逻辑独立。染色体编码方案如图2所示。

(2)适应度函数设计

适应度函数是遗传算法在数字电路设计中的重要环节,适应度函数设计是否好直接影响最优化目标,适应度函数的输出值可以与期望值进行有效的比较和分析,将操作结果当作是数字电路优化设计的评价标准,数字电路遗传算法适应度函数设计如公式(1)所示。

其中,Correctnum是进化电路输出正确的个数,inputnum是输入端的个数,α是复杂度在适应度中所占比例大小,Length=R×C表示染色体的长度,GateevComi是第i个基本门电路的估计复杂度。

(3)选择操作

遗传算法常用的选择操作很多,比如竞争选择法和赌法。本文数字电路设计过程中采用了模块化原则,因此可以采用竞争选择策略,也即是从父代的种群中选择若干个遗传个体,然后可以将这些个体在适应度函数中的计算值进行比较,选择适应度最高的个体进行交叉操作,重复上述过程直到交叉操作执行完毕。

(4)交叉算子

交叉算子可以从父代群体中任意选择两个染色体,按照一定的概率p进行交叉和配对,能够将优秀的基因保留下来,以便能够更好地满足种群遗传。交叉算子常用的方法包括均匀交叉、两点交叉或单点交叉。均匀交叉具有染色体置换较多的优点,因此可以更好地满足需求,本文针对染色体采用均匀交叉操作,以便更好地满足均匀交叉操作管理模式,如果p1、p2描述任意两个父代染色体,C1、C2描述任意两个子代染色体,minv描述m按位取非操作。则遗传算子均匀交叉操作如公式(4)和(5)所述。

(5)变异算子

变异操作可以将染色体中的一些基因按照概率pm的机制实施变异操作,变异操作主要是为了保持群体的多样性。如果概率pm的取值越大,则遗传算法的种群多样性就会越好。变异操作仅仅在单个父代个体上进行有效的操作,其可以改变某一个染色体的基因,就可以使种群保持较好的多样性。本文变异算子具体操作如下:选择一条染色体C1,根据变异概率pc决定个体是否变异,如果m取值为0,则不进行任何操作,如果m不等于0,则进行变异操作。

结语

数字电路采用模块化设计方法,同时利用遗传算法优化电路,因此可以提高数字电路设计的可靠性和准确度,更加有效地适用于航空电子、精密仪器等电子化设备,提高我国自动化设备自动化、智能化水平。

参考文献

[1]鲍治国,吴伟.一种改进的遗传算法在数字电路优化设计中的应用研究[J].电子制作,2015,25(23):77-79.

[2]赵江,陈又新,黄玉珍,等.加速器数字电源模数转换电路的优化设计[J].核电子学与探测技术,2014,6(4):542-546.

[3]王新胜,胡诣哲.低功耗电流模互连电路的快速优化设计方法[J].哈尔滨工业大学学报,2016,48(3):39-45.

数字电路的设计方法篇3

【关键词】数字电路;VHDL;教学改革;设计方法

1.引言

数字电路是理工科中的电类专业和计算机专业必修的专业基础课程,也是信息类各专业的平台课程。该课程在介绍有关数字系统基本知识、基本理论、基本电路的基础上,重点讨论数字系统中各种逻辑电路分析与设计的基本方法,以及该领域的发展现状及最新的技术。设置该课程的主要目的是为了让学生了解各种基本逻辑电路,能熟练地运用有关知识和理论对各类逻辑电路进行分析设计。目前,大多数高等院校仍是采用传统的数字电路教学模式,以教材为中心,过于强调基本原理、公式的推导以及波形的分析,往往让学生觉得抽象,不能够很好地理解电路、集成芯片的功能及应用。而实验环节主要在实验箱上完成,开设的是一些验证性的实验,对各实验项目的电路设计以手工为主,一般遵循自底向上的设计方法,从电路的功能分析,真值表、表达式、逻辑电路图到器件的选择、连线、测试等,学生的认识仅仅停留在局部小部件上,复杂的系统设计思想受到限制。在数字电子技术飞速发展的今天,大规模以及超大规模集成电路的广泛应用,这种缺乏实用性和创新性的传统教学模式,已不再适应现代应用型人才的培养。因此,教学需要融入新技术、突破传统教学模式,引入VHDL语言的数字电路教学改革就成为一个重要的研究课题。

2.VHDL语言及其特点

超高速集成电路硬件描述语言(VHDL)是一种用于数字电路设计的高级语言,是被ieee和美国国防部确认为标准的硬件描述语言,其主要用于描述数字电路的结构,行为,功能和接口。基于这种描述结合相关的软件工具,可以得到所期望的实际数字电路。利用VHDL语言进行电路设计具有以下几个特点:

(1)VHDL可用于设计复杂的、多层次的设计,并且支持设计库和设计的重复使用;

(2)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力;

(3)VHDL有丰富的仿真语句和库函数,使其在设计的早期就能查验设计系统的功能可行性,借助于相关仿真器随时可对设计进行仿真模拟;

(4)对于VHDL完成的一个确定的设计,一般可进行逻辑综合和优化,并能自动的把VHDL描述设计转变成门级网表;

(5)VHDL语言支持电路描述由高层向低层的综合变换,便于文档管理,易于理解和设计的再利用;

(6)VHDL对于设计的描述具有相对独立性,设计者可以不懂硬件的结构,最终实现的目标器件设计。

3.VHDL语言较传统设计方法的优点

通过上述特点,我们了解到VHDL语言功能强大、设计灵活、容易掌握。将VHDL语言引入数字电路教学中,有利于增强学生对电路设计的认识,掌握更多的设计方法,提高分析设计能力。本文针对六进制约翰逊计数器的设计,分别采用了传统设计方法和VHDL方法进行设计,通过对比可得出,VHDL可以显著提升数字电路的教学效果。

3.1传统设计方法

传统电路设计采用自底向上的设计方法如图1所示。本文选用JK、D触发器及门电路来实现,采用3个触发器连接产生8个状态,六进制约翰逊计数器只有6个状态,将其中的010,011两个状态禁止掉,具体状态转换表如表1所示。

图1自底向上设计方法

表1状态转换表

CLKQ2n'Q1n'Q0nQ2n+1'Q1n+1'Q0n+1

10..0..00...0...1

20..0..10...1...1

30..1..11...1...1

41..1..11...1...0

51..1..01...0...0

61..0..00...0...0

由状态转换表得出状态方程:

,,

将Q2,Q1选用D触发器,Q0选用JK触发器,得出驱动方程:

,,,

根据驱动方程最终画出逻辑原理图如图2所示。

图2逻辑原理图

在得到逻辑原路图后,还需要进行逻辑验证,验证无误后再对逻辑原理图进行逻辑验证无误后,在pCB版上完成布线、装配、焊接及调试,如有问题,再进行局部修改,直至整个电路调试完毕为止。

图3自顶向下设计方法

3.2VHDL设计方法

VHDL设计采用自顶向下的设计方法如图3所示。首先根据设计要求对电路功能进行行为级描述和仿真,然后再进行RtL级描述和仿真,达到预期结果后再进行逻辑综合、布局布线,最终完成电路设计。

(1)行为描述,也就是对计数器数学模型的描述,通过代码描述出输入、输出引脚和计数过程中状态变化时序及关系,具体程序如下:

LiBRaRYieee;

USeieee.std_logic_1164.all;

entitycounter6is

port(clk,reset:instd_logic;

count_out:outstd_logic_vector(2downto0));

endcounter6;

architecturertlofcounter6is

signalnext_count:std_logic_vector(2downto0);

begincount_proc:process(clk,reset)

beginifreset='0'then

next_count<="000";

elsifclk'eventandclk='1'then

casenext_countis

when"000"=>next_count<="001";

when"001"=>next_count<="011";

when"011"=>next_count<="111";

when"111"=>next_count<="110";

when"110"=>next_count<="100";

when"100"=>next_count<="000";

whenothers=>next_count<="000";

endcase;

endif;

count_out<=next_count;

endprocess;

endrtl;

利用max+plusⅡ软件对上述程序进行编译、仿真,仿真结果如图4所示,结果表明,该方案符合设计要求。

图4仿真结果

(2)RtL描述,即用具体门电路、运算器等来描述行为部分。行为描述程序抽象程度较高,故需转化为RtL方式描述的VDHL程序,以便于映射到具体的逻辑元件,得到硬件的具体实现。对于改写后的RtL程序同样需要进行仿真,检查正确性。

(3)逻辑综合,利用maX+pLUSiiadvancedSynthsisaLtera将其转换为门级网络表,输出逻辑原理图并进行仿真、检查定时关系。最后根据需要利用门级网表做出aSiC芯片或生成FpGa码点,完成电路设计。

3.3VHDL与传统设计方法比较

相较于传统设计方法,VHDL采用自顶向下的设计方法,可进行结构化、模块化设计,更利于分工合作,再加上各层次的仿真检查,便于早期发现错误并改正,提高了设计效率;同时设计描述的相对独立性,使得学生设计时不必写表达式、真值表,不必考虑所用器件,降低了设计难度;另外VHDL语言简单易学,maX+pLUSii界面友好,通过仿真波形分析,学生能更形象、更深刻的理解所学内容。

4.结束语

数字电路作为专业基础课程,其教学效果的好坏,将直接影响后续相关专业课程的学习。在数字电路教学中引入VHDL描述语言,利用maX+pLUSii进行编译、仿真、演示,不但丰富了教学内容,改进了教学手段,提高学习兴趣,还有助于学生消除“抽象感”;另外VHDL能将传统教学中较难实现的电路设计转换为软件设计,不仅简化了设计工作,还有利于增强学生对集成芯片的认识,提高分析设计能力,掌握更多的设计方法,以适应现代应用型人才培养要求。

参考文献

[1]张天瑜.基于VHDL的数字电路课程改革研究[J].湖北广播电视大学学报:2010(02):25-26.

[2]黄红霞.基于VHDL提升数字电路教学效果的研究[J].黄石理工学院学报,2010(03):66-70.

[3]谭勇,朱斌.基于eDa技术的数字电路实验教学改革[J].中国现代教育装备,2012(17):43-44.

数字电路的设计方法篇4

关键词:计算机;高速数字电路;设计技术

对于高速数字电路来说,其主要指的就是高速变化的信号在传播过程中产生的电熔、电感等具备着一定模拟特性的电路,实现计算机高速数字电路的建设,不单单需要对先进的电子技术进行应用,还需要结合现代化的计算机软件技术进行完善,进而实现对计算机高速数字电路每一部分的参数的优化和调整,进而保证计算机高速数字电路系统能够正常稳定的运行,达到一定的运行标准。在对计算机高速数字电路进行设计时,需要做的是在设计过程中对各部分的元器件进行合理的搭配,不然将会对电路元器件、电路信号等正常运行与传输产生不良的影响。

1计算机高速数字电路设计技术的影响因素

对于高速数字电路的设计来说,其是否能够成功主要“决定权”在于信号的质量,也就是高速数字电路信号完整程度的保持,假如对高速数字电路信号完整程度无法保持,在信号的传输过程当中,就会发生信号缺失,进而产生信号失真的现象,一旦这种现象发生,对于数据信息、地址等方面都会产生十分不良的影响,令整体的高速数字电路系统无法保持正常运行,甚至会造成整体系统的崩溃。而影响信号质量的因素并不单一,其是由多种因素所共同影响,然而,在对信号的完整性影响因素进行分析时,可以发现,其主要能分为以下几点:第一点,在整体的计算机高速数字电路系统中,在信号传输线位置的阻抗存在着差异,无法进行正常的匹配,进而能够出现“反射噪声”的现象,对于的信号完整性而言,能够对其产生一定的影响作用[1]。第二点,在整体的计算机高速数字电路系统中,信号线与信号线之间的距离受到电路密集度的影响,一旦电路密集度不断的进行增大,信号线之间的距离将会越来越狭小,这就致使信号与信号之间的电磁藕合参数呈现出上升的趋势,如果不进行及时的处理,就会导致信号间出现“串扰现象”,进而影响到信号的质量[2]。第三点,在整体的计算机高速数字电路系统中,在芯片内存在着大量的电路,这些电路在同时输出的过程中,会受到在电源平面间存在着的电阻以及电阻的作用,进而产生较大的“瞬态电流”,这种“瞬态电流”产生后,会对地线、电源线上的存在着的电压造成一定程度的不良影响,因此导致信号的发生一些波动和变化。总的来说,对计算机高速数字电路进行科学合理的设计,降低或者是排除以上三方面因素对信号质量的不良影响,进而达到促进计算机高速数字电路信号完整性的提高,在现代化的计算机高速数字电路的设计过程当中,是首先需要解决的问题,只有这样才能够保证计算机高速数字电路设计的成功性。

2计算机高速数字电路设计技术问题的解决对策

2.1阻抗问题的解决策略

为了避免信号传输线位置的阻抗存在着差异造成的信号受到影响的情况发生,首先需要对先进的计算机高速数字电路设计技术理念进行学习和研究,在正常情况下,计算机高速数字电路设计过程中,很难令电路中存在着的临街阻抗相互之间契合,因此,可以采用对计算机高速数字电路设计技术进行创新和完善的办法,令电路系统一直都保持过阻抗的状态,只有这样才能够在一定程度上保证计算机高速数字电路的信号传播过程中,信号的完整性不会受到阻抗差异的影响,进而获得更好的计算机高速数字电路信息传输效率[3]。

2.2串扰现象的解决策略

在对计算机高速数字电路进行设计时,对于“串扰现象”需要进行合理地解决。参照信号传播的基本理论,可以发现,在电路中,电流的流动趋势属于循环流动,对于这一现象而言,数字电路设计工作人员往往并不在意。在传播信号的路径和回路形成了电流环路,电感在这样中的回路随着路径的逐渐增大,电感也逐渐变大,同时,电流环路中存在着的电流也会根据电磁场的变化产生一定程度的改变。在对这样的电流环路展开“减小处理”,能够降低“串扰现象”带来的影响[4]。

2.3瞬态电流的解决策略

在对计算机高速数字电路进行设计的过程中,要对电源的电阻因素以及电感因素进行充分的考虑,实现对电阻因素以及电感因素的预先处理。在现阶段的电路系统中,通常情况下电路材料都是铜质材料,这种铜质材料远远对高速数字电路设计的要求和标准无法进行满足,所以,在高速数字电路进行设计的过程当中,还要对电路材料方面影响因素进行解决,使用更为合理的电路材料对去藕电容进行引导,将其引导进入整个高速数字电路中,能够在一定程度上降低“瞬态电流”的发生频率。

3结语

综上所述,在社会不断的发展的过当中,对于电子技术来说,也带来了一定的发展契机,令其发展速度也得以提升,计算机高速数字电路设计技术就是在这样的发展前提下得到了不断地完善及进步,是对先进的电子技术概念理论进行应用,进而达到的设计标准,为一些行业的整体发展能够起到一定的促进作用。通过对计算机高速数字电路设计技术进行研究和分析,结合相关的文献资料以及专业性知识,对计算机高速数字电路技术进行进一步研究,能够在一定程度上加快计算机高速数字电路技术的发展进程,进而在更多的行业当中得到更好的应用。

作者:黄一曦单位:广西理工职业技术学校

数字电路的设计方法篇5

论文关键词:数字电路与逻辑设计,教学模式,教学方法,实践教学

一、三本院校课程教学现状

三本学生中多才多艺的较多,平时开展各种社团活动比较频繁,学生自主创新思维活跃,但能够有条不紊自主学习的学生可能只有一少部分,许多学生对学习没有兴趣,课余时间几乎不学习。在教学过程中,刚开始学生还可以接受一些新知识,但随着教学的深入,学习难度的增大,学生感到了困难,随之学习的兴趣也越来越低,主动学习便是一句空话,学生也就是为了应付考试,甚至不少学生都是考前突击。这一特点在《数字电路与逻辑设计》课程的教学中也同样存在。要提高本课程的教学质量,我们在定位教学目标,设置教学内容,采用教学手段和方法的时候都必须以这一实际情况为前提。

二、教学理念,教育目标

三本教学有别于一本和二本,教学注重于学生应用能力和综合素质的培养,教学过程中突出培养学生应用知识,分析解决实际问题的能力,以学生为主体,以教师为主导,以教学为主线,树立能力培养目标为重中之重的思想,实现人才培养模式多元化,努力培养“宽口径、厚基础、强能力、高素质”,适应国际竞争和社会需求的应用型人才。三本教育要加强通识教育,注重文理渗透理工结合,体现本科教育的基础性和可发展性。努力探索人才培养新举措,深入推进人才培养模式改革,实现多元化人才培养新格局,大力实施“育人为本,全面发展”的人才培养战略,拓宽基础学科的范围和基础教学的内涵。

三、教材选取

考虑到三本学生理论基础较差,教材选取不应选择理论研究或理论推导比较复杂的教

材,否则会让学生还未涉及到重要的知识点就已经因为难度过大而丧失信心。教材选取要以应用为宗旨,强调理论与实践相结合。编写原则遵循由浅入深,通俗易懂,重点和难点采取阐述与比喻相结合,例题与习题相结合,实例与实验相结合,针对数字电路课程实践性强的特点,增加了与教材相应的实践环节教学内容。

四、教学内容

在三本的《数字电路与逻辑设计》教学中,应该注重基础教学,要求学生熟悉布尔代数的基本定律,掌握卡诺图与公式化简法;掌握数字电路中常用的基本单元电路和典型电路构成、原理与应用;掌握常用的中小规模组合逻辑电路和集成电路功能和设计方法。具有查阅集成电路器件手册,合理选用集成电路器件的能力。对集成芯片,重点分析电路的外特性和逻辑功,以一些典型集成电路为例介绍如何查阅集成电路手册、资料等,使学生学会在实际应用中正确选择和使用集成芯片[11]。

对于三本学生而言,在电路设计中要求学生掌握基本的设计方法,但可以适当降低对电路设计的要求,增强电路分析方法的教学。学生可以分析较复杂的电路,并且能够利用已有的电路进行修改,使电路满足自己设计的需要。

五、教学手段与教学方法

(一)采用现代化教学

《数字电路与逻辑设计》课程的特点就是电路图、逻辑图特别多,如果采用板书形式教学,既浪费课堂时间也达不到好的教学效果。教学过程中采用多媒体教学,可以使一些抽象的、难以解决的概念变得形象,易于学生接受。对于集成电路的分析和设计,为了增强演示效果,除了在ppt中添加更多的动画效果外,还可以采用Flash或authorware软件制作动画效果,使电路的变化过程一目了然。

(二)结合实际教学

在授课过程中,针对三本学生可以结合生活中的应用举例,如目前LCD显示、数字温度计、十字路口交通灯控制、数字频率计、多媒体pC机里的显示卡、声卡是用数电中的数/模(D/a)转换实现图像显示和声音播放、制造业中的数控机床等都应用了数电技术。通过这些实例的介绍,可以使学生真正了解数字电路课程的重要性,从而提高对数字电路学习的兴趣和学习积极性。

(三)网络教学

网络教学可有两种方式,一是上传教师课堂教学过程的视频到校园网;二是教师制作图文并茂的课件,以及与该课程有紧密关系的资料一起上传到网上。目前大部分三本学生宿舍都可以登录校园网,学生可以在任何时间进行网络教学。网络教学的方式解决了学生传统的看书自学枯燥无味的问题。

六、实践教学

实践教学一般分为基础实验和课程设计两大部分。基础实验教学从属于理论教学,实验内容均为验证性实验。教师给出实验步骤、电路图,学生按部就班、验证结果,通过基础实验,使得学生对于课堂所学基本概念和方法的理解和掌握更加透彻,同时培养学生科学实验的精神和方法,训练严格严谨的工作作风。基础实验是理论和实际相互联系的一个重要教学环节,但是仅仅是这种以教师为主导的实验模式,不能激发起学生学习兴趣和积极性,学生仍然不善于综合运用所学知识分析和解决问题。课程设计的目标就是为了加强基础、拓宽知识面、增强学生的自主学习和工程实验能力、发展个性、启发创新、加强理论与实验。学生根据实验任务,自行设计电路和测试方案,增强学生自主学习能力,学生既动脑又动手,解决问题的能力大大提高[12]。

除此之外,还可以设置一些电子设计大赛,成立电子设计兴趣小组,在教师的指导下开展设计性和专题研究性实验,为希望进一步发展的学生提供良好的学习环境和创新研究场所,培养学生的团队协作精神,发挥学生学习的自主性和创造性,极大地提高学生的学习兴趣和动手能力。

七、结束语

随着高等教育的普及,三本学生的数量和质量也在日益增高,同时随着数字技术的广泛

普及,数字化社会已经到来,大规模、超大规模数字集成电路以其低功耗、高速度等特点,应用越来越广泛。因此如何在有限的时间内使三本的学生扎实掌握数字电路基础知识理论和基本操作技能,培养分析问题、解决问题的能力,是教师在教学过程中需要认真思考的问题。使学生在传统的数字电路逻辑分析、逻辑设计思维训练的基础上进一步建立起现代数字电路的应用与设计思想,掌握现代电子技术的新技术和新器件,为走向实际工作岗位打下坚实的基础。

参考文献

[1]谢丽.《数字电路与逻辑设计》教学改革实践.吉林省教育学院学报,2012年第02期

[2]李琰,张翌呖.数字电路的教学改革与创新.计算机光盘软件与应用,2011年第22期

[3]李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报(自科版),2oo4(4)

[4]田东.数字电路课程设计的改革与探讨.实验技术与管理,2006年05期

[5]马达灵,张云云.《数字电路》课程教学改革之我见.集宁师专学报,2008年12月第30卷第4期

[6]邓朝霞.《数字电路》课程整合与优化的改革.广西教育学院学报,2006年第6期

[7]张丽.高职《数字电路》课程教学方法的探索.读与写(教育教学刊),2010年04期

数字电路的设计方法篇6

【关键词】pCB设计抗干扰策略

anti-interferenceearthingstrategyanalysisofpCB

abstract:intheprocessofusingpRoteLpCB,circuitboardofthedigitalsignalandanalogsignalgroundingschemeifthedesignisnotreasonable,itmayappearallkindsofinterference,leadtothedesignschemeofpCBtoimplementation,thewholecircuitperformancedegradation,andaffecttheirnormalwork,thispaperintroducesseveralanti-interferenceearthingintheprocessofpCBdesignstrategy.

Keywords:pCBdesign;anti-interference;strategy

一、引言

现如今,各类电子产品已遍布我们生活中的各个角落,而电子设备的核心构件―pCB板也随之迅速发展,电子设备能否正常、安全、平稳的运行,很大程度上取决于电路板―pCB板的设计。在pCB板的设计过程当中,最重要的一个环节即是电子产品的接地、抗干扰了。目前,每个电路板的设计人员都对自己的电路板的接地抗干扰有自己的见解,而各种电子设备的接地抗干扰的方法和技术在时时更新,这将给电子设备的持续平稳安全运行提供重要保障。本文就电子设备的电路板抗干扰接地策略进行论述。

二、数字信号和模拟信号的接地

但在设计pCB板时,到底哪些部分属于数字区域,哪些部分属于模拟信号区域,我们无法将其严格进行区分,比如在电路中,电源部分是共用的,在设计pCB时它应该归属于哪一部分?在pCB设计中,常用的抗干扰方法就是将数字电路和模拟电路区分开来,画在不同的区域。但像上面所说的并不能严格区分的部分又该怎么来设计?在设计电路时如何区分是模拟信号还是数字信号关键在于与该信号相关的芯片是数字还是模拟的,电源部分给模拟电路供电,将其归属于模拟部分,给数字类芯片供电,即将其归属于数字部分,而当两部分混合共用同一个电源时就需要用“桥”的方法将电源从另一部分引过来。上面这种抗干扰系统是目前较为常用的一种方法,但是此种方法在一些小的系统或者小的电路板中适用,在大的电路系统中该方法就有很多的潜在问题,尤其是在复杂的系统中更为突出,在跨越分布间隙布线时,emi问题随之而来。比如一个典型的a/D转换器在使用的时候,制造厂商会建议将a/D转换器的aGnD和DGnD使用最短的导线连接起来,连到一个低阻抗的地上。这样可以使用上面的方法在a/D转换器下面,在两个地之间用与iC等宽度的连接桥连接(注意:任何信号线都不能跨越分割间隙)。但是如果系统中有较多的a/D转换器,每一个都是按照上面的方式来接连,则会产生多点连接,将数字地和模拟地隔离将毫无意义,此时应使用统一地,将统一地人为的分开为数字地和模拟地两部分,这样就既可以满足厂家的要求又可以最大限度的减少emi问题。

三、高频信号抗干扰分析

在设计高频信号的pCB电路板时一定要注意,任何金属或者导线都可以看作成为由电阻、电感、电容组成的器件,电路板中25mm长的印制导线可以产生15-20nH的电感。因此在pCB设计中的接地时要尽量采用多点接地策略,让每一个电路系统就近接入最低阻抗的接地线上,使接地线最短,尽可能的减少接地阻抗及减少地线之间的电感和分布电容造成的电路之间的互相偶合。在设计pCB时多点接地最简单的方法就是全部覆铜,将元件的接地点就近的连接到覆铜上,占了pCB面积绝大多半的接地平面就提供了一个具有极低接地阻抗的“参考地面”,各个元件和单元电路之间互相就杜绝了不需要的高频偶合。高频电路板中的数字地和模拟地要单独处理。高频数字信号的地线的地电位一般是不一致的,两者这间常常存在一定的电压差,而且高频数字信号的地线还常常带有非常丰富的高频信号的谐波分量,当直接连接数字信号地线和模拟信号地线时,高频信号的谐波就会通过地线耦合的方式对模拟信号进行干扰。通常情况下,对高频数字信号的地线和模拟信号的地线是要做隔离的,采用在合适位置单点互联的方式,或者采用高频扼流磁珠互联的方式。

总结:在日常的pCB设计中,各类电子元器件的位置布置、导线的加粗与否等都对电路板的干扰性有很大的关系,这些都需要设计人员有熟练的技巧和对电路板的透彻认识能力。pCB设计的抗干扰性对电子产品的使用性能有很大关系,上面列举的都是在pCB设计中经过实践总结的方法,希望对电路板设计人员有所帮助。

参考文献

[1]腾旭,胡志昂.电子系统抗干扰实用技术.国防工业出版社.

数字电路的设计方法篇7

关键词数字集成电路;频率计;逻辑控制;闸门

中图分类号:tn79文献标识码:a文章编号:1671-7597(2013)15-0037-01

在电子信息领域,信号频率的测量非常广泛,并且与许多电参量的测量有密切的关系。在仪器仪表、资源勘探等相关应用中,频率计是工程人员必不可少的测量工具。频率测量的方法有许多,其中数字计数器测量频率具有测量快、精度高、成本低等优点,是频率测量的重要应用之一。本文便通过对时基单元电路、分频单元电路、整形单元电路、延时清零单元电路等的整合运用,设计制作一款简单实用的数字频率计,并对具体单元电路进行分析。

1频率计的工作原理

被测信号经输入端输入,经过放大整形后,通过主控电路,时基信号的下降沿到来时,控制电路主控门打开,tx2周期内允许待测信号通过主控电路到达脉冲计数电路进行计数,待测信号的频率就是单位时间(1s)内的得到的脉冲数,表达式为f=n/tx2,其中f为被测信号的频率,n为计数器读得的脉冲数,tx2是时基信号的周期,tx2可由分频电路的开关获得,在时基信号的下一个下降沿到来时,主控门关闭,主控电路发出锁存信号锁存当前读得脉冲值,显示电路随即显示读得脉冲值,即测得信号频率。延时一段时间后,清零电路清零输出脉冲,将各计数器、触发器复位,准备下次测量。频率计的工作原理如图1所示。

2系统硬件设计

2.1放大整形电路的设计

放大整形电路单元主要由集成电路CC4011和运算放大器op07构成。op07构成的负反馈放大电路将微小的待测信号放大到能被数字集成电路有效识别的水平,再通过由与非门4011构成的斯密特触发器,整形得到方波脉冲。

2.2时基电路的设计

时基电路单元主要由集成电路5G5544、32768Hz的晶体振荡器构成和微调电容等元件构成,自激工作,经调整后,可获得周期为1s的精确方波信号。

2.3分频电路的设计

分频电路单元主要由2片集成电路CC4518组成。4518是二-十进制同步计数器,计数器级联,上级计数器计满溢出后,通过下级计数器对时基信号进行10分频计数,4个计数器即可对时基信号进行1、10、100、1000分频,以确定测量周期tx2,即切换频率计的量程。

2.4主控电路的设计

主控电路单元由双D触发器CC4013和与非门CC4011构成。4013控制闸门选通信号,以控制主控门的开启/关闭。时基信号下降沿到达控制门1后,控制门1输出上升沿到4013(1)的Cp端,使得4013(1)的Q1=1,主控门2开启,被测信号在主控门开启的时间内,可以通过主控门2送至计数器CLK端进行计数,一个测量周期tx2后,时基信号再次到来的下降沿使主控门关闭,计数器停止计数,同时1使4013(2)的2=0,关闭控制门1,封锁时基信号,完成一次测量过程的控制。主控电路的原理图如图2所示。

2.5延时清零电路的设计

延时清零电路单元由D触发器CC4013、非门CC4069、积分电路、单稳态电路等构成。Cp在上升沿的作用下,4013翻转,=0,电路清零时,又将Q置0,=1,二极管迅速将电容充电,使电容一端达到高电平,而此时=0,电容通过电位器缓慢放电,一段时间后,电容一端电压降到4069的阈值电平,4069输出端产生上升沿,触发下级单稳态电路,单稳态电路随即产生正脉冲送到由4001构成的自动清零电路,将各计数器、触发器复位,等待下次测量。选择合适大小的R、C,使清零脉冲在锁存脉冲后沿产生,且宽度充分窄,在不影响测量精度的前提下又可以触发清零电路。

2.6脉冲计数电路的设计

脉冲计数电路单元由6片74LS160级联构成。74LS160是同步十进制计数器,通过主控电路的脉冲信号输入到74LS160的脉冲计数端,在74LS160给定的计数周期内,对计得的脉冲个数进行量程转换后,即可得到待测信号的频率。

2.7显示电路的设计

显示电路单元由6片CC4511和6只共阴极数码管构成。4511是一种BCD码译码器,可直接驱动6位共阴极数码管,6位分别表示个位、十位、百位、千位、万位、十万位,可测量1Hz~1mHz的频率。

3结论

数字频率计是一种测量方波信号、正弦信号以及其他各种信号的频率仪器,在电路设计中广泛被应用。本文介绍的一种基于数字集成电路的频率计的设计方法,电路设计具有功能齐全、结构简单、成本较低、使用方便等优点。该频率计通过仿真、制作、误差分析等验证,可在多种测试场所广泛应用。

参考文献

[1]王伟明.数字频率计电路设计与分析[J].电子世界,2013.

[2]刘夫江.基于单片机和CpLD的等精度数字频率计设计[J].山东大学,2007.

[3]董玉冰.基于multisim9.0简易数字频率计的设计与仿真[J].长春大学学报,2009.

[4]朱东南,等.基于CD4541的便携式数字频率计的设计[J].兰州工业学院学报,2013.

[5]刘文浩,等.CmoS数字频率计的设计与制作[J].莱阳农学院学报,1989.

[6]王昊鹏,等.简易数字频率计设计与实现,四川兵工学报,2011.

数字电路的设计方法篇8

关键字:数字电路;组合逻辑电路;时序逻辑电路

中图分类号:tn79文献标识码:a文章编号:1673-0992(2010)06a-0042-01

众所周知,近年,科学技术的不断进步带动许多行业发生了翻天覆地的变化,电子信息行业走在了科学发展的前列,表现尤为突出的是数字电子技术,科学进步的浪潮中它迅速前进,已成为当前发展最快的学科之一,数字逻辑器件已从60年代的小规模集成电路(SSi)发展到目前的中、大规模集成电路(mSi、LSi)及超大规模集成电路(VLSi)。那么,逻辑器件的变化也会影响整个数字逻辑电路的发展。

一、数字电路的状态

数字电路顾名思义就是对数字信号进行算术运算和逻辑运算的电路,它只有两个状态就是0和1。在数字电路中,低电平用0表示,高电平用1表示,有时低电位也用字母L(Light)表示,而高电位用字母H(High)表示。另外在对0和1理解时,还会有时间限制,因为数字0、1表示电路状态,结合时间看电路时,要明白电路工作时序。

二、数字逻辑电路的基本定律

数字电路的设计在生活中使用非常广泛,但是怎样设计出符合要求的电路,这就是一门技术活了。因此理解数字电路设计,重点在基本概念和基本方法上。数字设计中逻辑代数基本定律、组合逻辑和时序逻辑的概念是分析和设计数字系统的基础,也是设计大规模集成芯片的基础,所以我们在说数字电路设计之前就要先了解逻辑代数的基本知识定律。逻辑代数是英国数学家乔治.布尔(Geroge.Boole)于1847年首先进行系统论述的,也称布尔代数。所研究的是两值变量的运算规律,即0,1表示两种不同的逻辑状态,称这种只有两种对立逻辑状态的逻辑关系为二值逻辑。在逻辑代数中我们最先了解的就是进制的转换,计算机系统中一般二进制、八进制、十进制、十六进制是了解最多的,转换这些进制也是最容易的,掌握其中的计算方法就能得到。

三、数字电路设计―组合逻辑和时序逻辑

在做数字电路设计时主要就是组合逻辑电路设计和时序逻辑电路设计。从一方面说,这两种电路的设计是数字电路中的一个最基本的也是最重要的部分,只有会做这两种电路的设计才算是对数字电路入门了。所以我们先对这两种设计作下简单的介绍。

如果说逻辑电路设计是数字电路的最基础的组成部分,那么门电路就是带动这些部分运转的重要元素,就像是一部机器,门电路就是机器中的零件,大家都知道零件在机器的运转中起着不容小觑的作用,如果在某个部位因为一个小零件的出错,可能会导致整个机器出故障。逻辑电路中最基本的门电路通常是与门、或门、非门。与门是逻辑与运算的单元电路;或门是逻辑或运算的单元电路;非门,也叫反相器,是实现逻辑非运算的电路。在实际的应用中并不是把它们直接使用,而是将它们组合成复合逻辑运算与非、或非、与或非、异或、同或等常用的门来实现其功能。我们在日常生活中见得最多的就是交通灯的控制,就是用组合逻辑电路设计成的。在组合逻辑电路的设计中,利用门电路的组合完成的很多电路的设计,编码器、译码器就是组合逻辑电路中的器件,组成的液晶显示器LCD,数码显示器LeD。

时序逻辑电路中,主要的零件就是集成触发器,在各种复杂的数字电路中不但需要对二值信号进行算术运算和逻辑运算,还经常需要将这些信号和运算结果保存起来,因此需要使用记忆功能的基本逻辑单元,而这种能储存信号的基本单元电路就是触发器。迄今为止,人们已经研制出了很多种触发器电路,根据电路结构形式的不同,可以分为基本RS触发器、同步RS触发器、主从触发器、边沿触发器等。这些触发器的研制都是在前一种触发器的基础上改进而来的,通俗的说是后人在前人的研究发明中不断提炼出的新器件。因此同步触发器是建立在基本RS触发器的基础上的,基本RS触发器输入信号可以直接控制触发器的状态翻转,而在实际应用中往往要求在约定脉冲信号到来时,触发器才能翻转,所以才有同步RS触发器的出现。但是同步RS触发器有空翻现象,不能正常计数,因此人们又研制了主从触发器,同样为了克服主从触发器的一次性变化,就有了边沿触发器的产生。

四、数字集成电路

在很多人看来,数字集成电路是非常空洞的东西,因为只是一块芯片,却能实现如此多的功能。那在数字集成电路中主要有哪些电路呢?常用的数字集成电路一般有CmoS电路和ttL电路两种。CmoS电路有消耗功率低,工作电压范围广和噪声容限大的特点,虽然在CmoS电路的输入端已经设置了保护电路,但由于保护二极管和限流电阻的几何尺寸有限,它们所能承受的静电电压和脉冲功率均有一定限度。CmoS集成电路在储存运输、组装和调试过程中难免会接触到某些带静电高压的物体,所以一般要对输入的静电进行保护,另外CmoS还会出现电路锁定效应,一般为了使用安全和方便,人们一直在研究从CmoS电路本身的设计和制造上克服锁定效应方法。当然,集成电路一般的要求都非常高,它需要预先对芯片进行设计,编制一定的程序,而我们往往使用现成的电路,对它只做了一定的分析。

通过对数字电路的基本知识的解读,当然这只是很浅的一方面。而数字电路涉及到的一些专用的集成电路。由于专用集成电路(aSiC)是近期迅速发展起来的新型逻辑器件,这些器件的灵活性和通用性使它们已成为研制和审计数字系统的最理想器件。因此数字电路的发展在今后还有很大的空间,但是在发展的同时,数字电路的基础的知识是不会改变的,只会在原来的基础上得到更大的改进,这需要新新的电子人来改进数字电路的不足地方,将它所存在的每一个缺点进行弥补,使各个部分它的作用发挥到最大。

数字电路在实际运用中将越来越广泛,现在在要求普及的数字电视已经进入了千家万户,数字化已经成了必然的趋势。但是任何技术知识,基础都是最根本,最主要的,数字电路的组成刚好是是基础。数字化的时代已经到来,打好基础知识是数字电路发展的前提条件。

数字电路的设计方法篇9

关键词:eDaVHDL自动化数字电路

中图分类号:G71文献标识码:a文章编号:1672-3791(2015)11(c)-0033-02

数字电路eDa也是电子信息工程学院各个专业的一门必修课,它是一门实践性很强的课程,是实践教学中不可缺少的重要教学环节,eDa实验使学生了解通过软件仿真的方法可以高效的完成硬件电路设计的计算机技术,初步掌握自顶向下的设计方法、eDa设计流程等,会用原理图输入和硬件描述语言VHDL设计逻辑电路。

数字电路eDa课程是高等院校电气、电子信息类专业的一门重要的实践课程,具有理论性与实践性强的特点,优化该课程的实践教学,对提高课程教学质量至关重要,由注重传授知识向注重培养学生综合素质方向转变,随着大规模集成电路的飞速发展,电子类高新技术的开发也更加依赖于eDa技术的应用,通过实践课程,学生掌握使用eDa工具设计数字电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程。

1优化课程的实践教学

数字电路课程引入eDa技术,不仅极大地丰富课程选题,而且同一课题出现多种实现方案,提高了学生的创新思维能力,对后续专业基础课程学习、电子设计竞赛、撰写论文等起到了启蒙和引导的作用。

2综合运用基础知识,解决工程实际应用能力

eDa(electronicDesignautomation)是以计算机为平台,原理图输入法、硬件描述语言(VHDL)为设计语言,可编程逻辑器件为实验载体。

自顶向下的模块设计方法就是从系统的总体要求出发,自上而下地逐步将设计内容细化,最后完成系统硬件的总体设计。设计的三个层次如下。

第一层次是行为描述。实质上就是对整个系统的数学模型的描述(抽象程度高)。

第二层次是RtL方式描述,又称寄存器传输描述(数据流描述),以实现逻辑综合。

第三层次是逻辑综合,就是利用逻辑综合工具,将RtL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)。在门电路级上再进行仿真,并检查定时关系。

完成硬件设计的两种选择,由自动布线程序将网络表转换成相应的aSiC芯片制造工艺,做出aSiC芯片。将网络表转换成FpGa编程代码,利用FpGa器件完成硬件电路设计。

3应用实例

首先建立一个新的工程,然后建立新文件并输入如下的代码:

modulesled(seg,dig,clock,rst_n,);

inputclock;

inputrst_n;

output[7:0]seg;

output[3:0]dig;

reg[7:0]seg_reg;

reg[3:0]dig_reg;

reg[3:0]disp_dat;

reg[36:0]count;

always@(posedgeclock)

begin

if(!rst_n)

count=37'b0;

else

count=count+1'b1;

dig_reg=4'b0000;//

end

always@(count[3])

begin

disp_dat={count[7:4]};

end

always@(disp_dat)

begin

case(disp_dat)

4'h0:seg_reg=8'hc0;

4'h1:seg_reg=8'hf9;

4'h2:seg_reg=8'ha4;

4'h3:seg_reg=8'hb0;

4'h4:seg_reg=8'h99;

4'h5:seg_reg=8'h92;

4'h6:seg_reg=8'h82;

4'h7:seg_reg=8'hf8;

4'h8:seg_reg=8'h80;

4'h9:seg_reg=8'h90;

4'ha:seg_reg=8'h88;

4'hb:seg_reg=8'h83;

4'hc:seg_reg=8'hc6;

4'hd:seg_reg=8'ha1;

4'he:seg_reg=8'h86;

4'hf:seg_reg=8'h8e;

endcase

end

assignseg=seg_reg;

assigndig=dig_reg;

endmodule

保存后,再编译,之后选tools->RuneDaSimulationtool->eDaRtLSimulation进行仿真。最后配置引脚,下载并运行。

4营造良好的实践教学环境并建立科学的评价方法

基于eDa技术的数字电路实践教学主要由计算机,eDa软件开发工具,可编程芯片及实验硬件开发系统组成,该院已建有eDa实验室,配有多台安装Quartus开发软件的pC机,为每人或者小组完成课题提供良好的实验条件。

如何评价设计成果,客观,合理的给出成绩,既能反映出真实水平又能激发学生的学习积极性和创新意识,不以最终结果正确性作为评价的唯一标准,而对设计过程的每个环节都给出量化的评分标准。

5结语

数字电路实验中引入eDa技术,蕴含着数字系统设计的新思路、新方法,代表了现代数字系统设计的方向,eDa技术采用“自上向下”设计数字系统的方法,通过设计逻辑功能模块来实现数字系统功能,不仅大大提高了工作效率,而且提高了系统的可靠性,使设计更加灵活,学生在大二期间,就能够通过数字电路eDa实验,掌握eDa技术,对将来后续课程的学习,以及对学生提高创新能力,工程设计能力都是十分有利,数字电路eDa实验中应用eDa技术可使学生突破硬件资源,制作耗时的限制,充分发挥想象力和创造性,设计出别具特色的作品来,使课程设计的效果大大提高,应用eDa技术设计数字电路,可为实验的选题拓宽范围,增加了课程的趣味性、综合性、创造性,以不同类型,不同难度的设计任务供学生选择。

参考文献

[1]邹虹.数字电路与逻辑设计[m].北京:人民邮电出版社,2008.

数字电路的设计方法篇10

关键词:数字电路;教学方法;multisim;仿真

中图分类号:G642文献标识码:a文章编号:1009-3044(2011)28-7058-03

theexplorationofDigitalCircuitteachingandtheUseageofSimulationSoftware

ZonGXin-Xin

(instituteofComputerScienceandtechnology,anhuiUniversityofScience&technology,Huainan232001,China)

abstract:FromthecurrentsituationofteachingDigitalCircuit,exploringandimprovingtheexistingteachingmethodandmeansarepresentedinthispaper.UsingmultisiminDigitalCircuitteachinghasgreatlystimulatedstudents'interestsandhasenhancedthestudents'abilityofpractice.ithasmadeabetterteachingeffect.

Keywords:digitalcircuit;teachingmethods;multisim;simulation

从事计算机硬件教学的老师都知道,对于计算机专业的学生而言,数字电路是计算机专业学生硬件的专业基础课,这门课程的学习不仅为后续的计算机组成原理,单片机等硬件类课程打下基础,而且更为重要的是通过这门课程的学习,使学生建立对硬件类课程的学习兴趣。如果学生从这门课程开始就对计算机硬件类课程产生了畏难情绪,以后课程的展开是相当困难的。所以这门课程的教学工作承担了双重责任:一是让学生掌握数字电路的基础知识以及分析设计方法,具备查阅和使用集成电路和读图的能力;二是使学生喜欢上硬件类课程,建立对硬件类课程的兴趣和探索精神。因此,这门课程如何展开教学,采用何种教学手段,如何提高学生兴趣,如何使理论和实践更好的结合是每一个教师思索的问题,也是本文所讨论的重点。

1教学方法和手段

1.1知识点结构框图化

在每一章每一节内容开始讲授和小结的时候,将知识点以结构图的形式展示给学生,使学生有一目了然的感觉,对自己要学的和学过的知识点有清晰的脉络。例如在讲述逻辑函数的描述方法时,给出下列的结构框图(如图1所示)。

在讲述这个框图时,学生对真值表,卡诺图还没有感性认识,可在黑板上画一个真值表和卡诺图,使学生初步认识它们的形式,也了解了逻辑函数的几种描述方法。

1.2教学内容的加减法

数字电路发展很快,对数字电路的讲授应符合数字电路的发展趋势,使学生能学有所用,而不是满腹经纶无用之地,这也就是说,要让学生了解数字逻辑电路的最新发展。但俗话说万丈高楼平地起,我们并不能忽视数字电路的基础理论与基础知识。这就要求我们要在有限的时间之内,让学生具备扎实的数字电路基础知识,了解现代数字电路的设计方法和相关工具软件的使用。因此在教学内容安排上做了这样一些调整,重视逻辑代数和逻辑函数基础理论的教学,在组合逻辑电路教学中适当减少中小规模集成电路内部分析和设计,适当增加使用vhdl语言设计组合逻辑电路和时序逻辑电路,学会multisim11仿真软件的使用方法,让学生有一个较高的起点和平台来应用所学的知识。[1]例如我们在讲到组合电路分析时,常常会将一位全加器给学生作为例题讲解,并且给学生建立全加器的概念:能实现三个一位二进制数相加(被加数、加数和低位进位),得到一位和及一位向高位进位的加法器。在接下来的组合设计内容中我们就适时的增加了用vhdl语言设计一位加法器的内容。

1.3贴近生活的教学举例

数字电路由于其系统性强,逻辑性强,从始至终教学中穿插着卡诺图,逻辑公式,真值表,特性方程,状态图,状态转移表等内容,很容易让学生产生内容相似的疲劳感,因此在课堂教学中采用贴近生活的举例可以使学生觉得这门课有趣,实用,很容易产生亲切感,让枯燥的课堂学习变得轻松愉快,学习效率也随之提高。例如在组合电路分析教学中给出密码锁电路图,让学生分析开锁的密码是什么。组合电路设计中举例交通灯故障的判别电路,利用优先编码器74LS148和门电路设计医院优先照顾重症患者呼叫的逻辑电路等等。除了课堂老师的举例之外,还通过布置作业的方式让学生查阅数字逻辑电路在现实生活中的用处,并设置课堂讨论时间让学生交流自己所了解的知识。这样不仅激发了学生浓厚的学习兴趣,使其体会到学习的乐趣,变被动学习为主动,同时也活跃了课堂气氛。

2仿真软件在数字电路教学中的应用

multisim是一款主要用于数字电路,模拟电路和集成电路仿真分析的软件。它具有界面简单直观,操作方便,电路仿真能力强,虚拟仪器强大等诸多优点。数字电路是一门实践性很强的课程,而传统的教学模式在课堂上理论与实践联系的很少,将multisim引入数字电路的教学,可有效解决传统教学的不足,在课堂教学演示,课下作业辅导,实验环节都有其独特的优势。其作用主要表现在三个方面。其一,在课堂上,教师和学生可在互动的环境中进行教和学,用事实说话,通过课堂演示可以让学生观察到电路的直观现象,对于学生感觉新鲜好奇,有说服力,对于教师也觉得教的轻松了。其二,将multisim作为一个课后学习辅助工具,在课后作业的辅导方面发挥着很大作用,一方面学生可以通过软件来验证自己作业的正确性,另一方面可以使有兴趣学生在课外进行更深入的学习,从而达到培养学生学习兴趣及动手能力的目的。其三,在实验环节上,我们现在通常采用传统的硬件实验箱,传统的实验具有现象直观,易于接受的特点,但是实验多是验证性的,并且由于学生操作不当和实验箱老化,容易出现一定损耗;而以multisim为平台展开的实验,设计,布线,仿真都很简单,也符合现在电路设计的发展方向,可以作为传统实验的有益补充。[2]

2.1multisim在课堂教学中的演示

multisim具有直观的图形界面,它的整个操作界面就像一个电子实验工作台,教师在课堂上绘制电路图十分方便,将元器件和仿真测试仪器直接拖放到屏幕上,用鼠标拖拽导线就可将它们连接起来,测量数据、波形和特性曲线如同在真实仪器上看到的一样。multisim包含的丰富测试仪器使得它在课堂上演示生动,直观,易于被学生接受。例如,在进行集成计数器74160这一小节的教学时,首先让学生了解74160是一个可预置数的十进制同步加法计数器,LoaD'是置数端,低电平有效,置数是同步的,当置数端为低电平时,在Cp上升沿作用下,输出端QaQBQCQD与数据输入端aBCD一致;CLR'是清零端,清零端是异步的,当清除端CLR'为低电平时,不管时钟端Cp状态如何,即可完成清除功能;74160的计数是同步的,当enp、ent均为高电平时,在Cp上升沿作用下计数器加法计数;74160具有超前进位的功能,计数溢出时,RCo端输出一个高电平。接着给出74160的功能表,如表1所示。

对于集成电路芯片,我们不要求学生了解芯片的内部结构,但是学生必须要会查阅使用芯片的数据手册。在了解了74160的芯片引脚和功能后,就可以应用multisim11来学习这个芯片。为了使学生能够一步一步地深入了解和学习这个芯片,我们采用搭积木的方式来展开内容,首先在multisim的工作电路区上放置电源,信号发生器,74160,七段数码管和逻辑分析仪[3],然后以导线或总线使各个部件连在一起,再将信号发生器和逻辑分析仪的频率设置成相同的,建立如图2的电路图,启动仿真,就可以直观的看到,电路工作在计数状态,数码管从0~9不断变化,打开逻辑分析仪,可以看到,当计数到9时,RCo产生一个超前的高电平进位。

为了进一步讲解置数端和清零端的用法,我们要求用此芯片分别以置数法和清零法实现模6计数器。对于74160,一定要对学生强调它是异步清零和同步置数的。

使用置数法时,模6计数器,也就是要计6个状态,在这里采用0100、0101、0110、0111、1000和1001这6个状态,也就是说,当计数到1001时,要产生置数信号,使下个时种信号到来的时候,QDQCQBQa被置成0100,从而跳过0000到0011。

采用置数法电路图如图3所示,在这里将QDQa输出接个与非门,当QDQCQBQa=1001时,与非门输出为0,置数端得到有效电平,在Cp上升沿到来时,QDQCQBQa=DBCa被置成0100。通过仿真,可以看到计数器在4到9之间的6个状态计数。

使用清零法时,采用的是0000、0001、0010、0011、0100、0101这6个状态,也就是说,当计数到0101时,要产生清零信号,在QCQa接与非门,理论上QDQCQBQa=0101时,产生清零信号,可将QDQCQBQa清零,仿真后看到的结果是,计数在0到4变化,没有计到0101这个状态。这究竟是为什么呢,打开逻辑分析仪查看波形,看到只要QDQCQBQa=0101,与非门输出立刻为0,清零是异步的,只要清零信号到来,不论Cp如何,计数器立即清零,所以计数器根本没有计到0101这个状态,要想计数到0101的稳态,必须在0110时产生清零信号。修改电路图,在QCQB端接与非门,再次仿真,得到如图4所示的波形图,从图上可以清楚的看到计数到0101状态后,下个计数状态是0000。

接着我们又给学生布置了这样的课后思考题,如何应用74160实现百进制计数器和24进制计数器,并请仿真验证自己的想法。通过这个完整例子的透彻分析,学生不仅掌握了74160这个芯片,对于其他同步计数器芯片也能够做到轻松应用,起到举一反三的效果。

2.2multisim作为作业伴侣

multisim因其方便的界面,丰富的原件库和逼真的虚拟仪器。在学生的课后作业中扮演着重要的角色,大大减轻了教师的负担。例如在学习逻辑代数基础和组合电路中,我们教会学生使用逻辑转换仪,这个仪器可以将电路图、真值表和逻辑表达式进行方便的转换,可以进行逻辑函数的化简。在学完函数化简后给学生布置这样的习题Y=(a'+B')C+BCD'+aD,不管学生是用卡诺图还是公式进行化简,最后结果是否正确,学生自己可以用逻辑转换仪来验证。学生在multisim工作区放置逻辑转换仪后,双击打开它,在最下方的显示区输入逻辑表达式,点击表达式到真值表按钮,出现这个函数的真值表,再进一步点击真值表到最简与或式按钮,在显示区出现aD+C,也即函数化简的最简结果。从简单的逻辑代数基础知识,到复杂的组合、时序电路分析设计,学生都可以用multisim验证自己的作业,并且给学生更大的学习和思考空间。

3结束语

改进现有的教学方法和手段,将eDa技术应用于数字电路的教学,是对此课程的教学改革。通过近几年的教学探索,取得了较好的教学效果,学生对数字电路课程的兴趣大大提高,理论联系实际能力增强,动手能力增强。学生不仅掌握了数字电路的基础知识,而且掌握了现在数字电路的设计方法和新技术,为以后从事电子设计工作打下良好的基础。

参考文献:

[1]白净,张雪英.《数字电路逻辑设计》课程的教学实践研究[J].电气电子教学学报,2007(s1):72-74.